Intel® Quartus® Prime Edisi Standard
Nota Keluaran Sokongan Perisian dan Peranti Versi 22.1std
Dikemas kini untuk Suite Reka Bentuk Perdana Intel® Quartus®: 22.1hb.1
Panduan Pengguna
Intel® Quartus® Prime Standard Edition Versi 22.1std Perisian dan Nota Keluaran Sokongan Peranti
Dokumen ini menyediakan maklumat terkini mengenai Intel® Quartus® Prime Standard Edition Versi 22.1std dan 22.1std.1.
Untuk maklumat tambahan tentang keluaran perisian ini, rujuk kepada README Edisi Standard Intel Quartus Prime file di lokasi berikut: /quartus/readme.txt
Untuk maklumat tentang sokongan sistem pengendalian, rujuk perkara berikut web halaman: Sokongan Sistem Pengendalian Intel FPGA.
Maklumat Berkaitan
- Nota Keluaran Perisian dan Sokongan Peranti Edisi Intel Quartus Prime Pro
- Perisian Reka Bentuk Edisi Standard Intel Quartus Prime untuk Linux
- Perisian Reka Bentuk Edisi Standard Intel Quartus Prime untuk Windows
- Perisian Reka Bentuk Intel Quartus Prime Lite Edition untuk Linux
- Perisian Reka Bentuk Intel Quartus Prime Lite Edition untuk Windows
- Pemasangan dan Pelesenan Perisian Intel FPGA
1.1. Ciri dan Penambahbaikan Baharu
Perisian Intel Quartus Prime Edisi Standard Versi 22.1std dan Versi 22.1std.1 termasuk kemas kini fungsi dan keselamatan. Pastikan perisian anda dikemas kini dan ikuti cadangan teknikal yang membantu meningkatkan keselamatan pemasangan Intel Quartus Prime anda.
Intel Quartus Prime Standard Edition Software Version 22.1std termasuk ciri dan peningkatan baharu berikut:
- Sokongan tambahan untuk pemproses Nios® V/m.
- Untuk peranti Intel MAX® 10, tambah sokongan LVDS 1.8V.
Pembetulan Pepijat
Perisian Intel Quartus Prime Edisi Standard Versi 22.1std dan Versi 22.1std.1 juga termasuk pembetulan pepijat. Review Isu Perisian Diselesaikan pada halaman 13 dan Tampalan Perisian Disertakan dalam Keluaran ini pada halaman 13 untuk melihat sama ada versi ini mengandungi pembetulan atau sebaliknya menyelesaikan mana-mana permintaan perkhidmatan pelanggan anda (Sokongan Intel Premier).
1.2. Perubahan kepada Gelagat Perisian
Bahagian ini mendokumenkan keadaan di mana kelakuan dan tetapan lalai perisian Intel Quartus Prime Standard Edition telah ditukar daripada keluaran terdahulu perisian Intel Quartus Prime Standard Edition.
Rujuk Tetapan Lalai Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, untuk senarai semua tetapan tugasan lalai untuk versi terkini perisian Intel Quartus Prime.
1.2.1. Ciri dan Fungsi Dihentikan
Fungsi dan ciri yang disenaraikan dalam bahagian ini telah ditamatkan tetapi tidak dialih keluar daripada Intel Quartus Prime Standard Edition Versi 22.1std.1 atau lebih awal.
Pindahkan alatan dan proses anda untuk menggunakan ciri dan fungsi gantian atau ganti sebelum ciri dan fungsi yang tidak digunakan dialih keluar.
Ciri dan Fungsi Dihentikan sejak Intel Quartus Prime Standard Edisi Versi 22.1std.1
Tiada ciri atau fungsi Intel Quartus Prime telah ditamatkan dalam Intel Quartus Prime Edisi Standard Versi 22.1.1.
Ciri dan Fungsi Dihentikan sejak Intel Quartus Prime Standard Edisi Versi 22.1std
Tiada ciri atau fungsi Intel Quartus Prime telah ditamatkan dalam Intel Quartus Prime Edisi Standard Versi 22.1.
Ciri dan Fungsi Dihentikan sejak Intel Quartus Prime Edisi Standard Versi 21.1.1
Tiada ciri atau fungsi Intel Quartus Prime telah ditamatkan dalam Intel Quartus Prime Edisi Standard Versi 21.1.1.
Ciri dan Fungsi Dihentikan sejak Intel Quartus Prime Standard Edisi Versi 21.1
Tiada ciri atau fungsi Intel Quartus Prime telah ditamatkan dalam Intel Quartus Prime Edisi Standard Versi 21.1.
Ciri dan Fungsi Dihentikan sejak Intel Quartus Prime Standard Edisi Versi 20.1
Tiada ciri atau fungsi Intel Quartus Prime telah ditamatkan dalam Intel Quartus Prime Edisi Standard Versi 20.1.
1.2.2. Ciri dan Fungsi Dialih keluar
Fungsi dan ciri yang disenaraikan dalam bahagian ini telah dialih keluar daripada Intel Quartus Prime Standard Edition Versi 22.1std.1 atau lebih awal.
Ciri dan Fungsi Dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 22.1std.1
Tiada ciri atau fungsi Intel Quartus Prime telah dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 22.1.1.
Ciri dan Fungsi Dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 22.1std
Tiada ciri atau fungsi Intel Quartus Prime telah dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 22.1.
Ciri dan Fungsi Dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 21.1.1
Tiada ciri atau fungsi Intel Quartus Prime telah dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 21.1.1.
Ciri dan Fungsi Dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 21.1
- Dialih keluar ModelSim*-Intel FPGA Edition dan ModelSim-Intel FPGA Starter Edition
Perisian simulasi ini telah digantikan oleh Questa*-Intel FPGA Edition dan Questa-Intel FPGA Starter Edition masing-masing. - Mengalih keluar sokongan untuk perisian simulasi 32-bit.
Perubahan ini mengalih keluar sokongan untuk alat simulasi berikut:
— Aldec* Active-HDL* (32-bit)
Gunakan versi 64-bit Aldec Active-HDL atau gunakan Aldec Riviera-PRO* sebaliknya.
— Grafik Mentor* ModelSim PE
Gunakan Siemens* EDA ModelSim SE atau Siemens EDA Questa Advanced Simulator sebaliknya. - Sokongan NicheStack TCP/IP Stack dialih keluar.
- Mengalih keluar sokongan untuk Cadence* Incisive* Enterprise Simulator (IES).
Ciri dan Fungsi Dialih keluar daripada Intel Quartus Prime Edisi Standard Versi 20.1
Sokongan untuk perisian berikut telah dialih keluar daripada Intel Quartus Prime Standard Edition Versi 20.1 dan lebih baru:
- Pembina DSP untuk FPGA Intel
- Intel FPGA SDK untuk OpenCL™ (*)
- Intel FPGA RTE untuk OpenCL
- Penyusun Sintesis Tahap Tinggi (HLS) Intel
(*) OpenCL dan logo OpenCL ialah tanda dagangan Apple Inc. yang digunakan dengan kebenaran Kumpulan Khronos™
1.3. Sokongan Sistem Pengendalian
Maklumat tentang sokongan sistem pengendalian untuk Suite Reka Bentuk Intel Quartus Prime tersedia pada halaman Sokongan Sistem Pengendalian Intel FPGA webtapak.
Perubahan Sokongan Sistem Pengendalian dalam Intel Quartus Prime Edisi Standard Versi 22.1std.1
Tiada perubahan sokongan sistem pengendalian dalam Intel Quartus Prime Standard Edition Versi 22.1std.1.
Perubahan Sokongan Sistem Pengendalian dalam Intel Quartus Prime Edisi Standard Versi 22.1std
Sokongan untuk sistem pengendalian berikut ditamatkan pada Intel Quartus Prime Edisi Standard Versi 22.1:
- CentOS* Linux 8.2
- Pelayan Windows* 2012
- Pelayan Windows 2016
- Windows* 10 Versi 1607
Pindahkan pemasangan Windows 10 anda ke Windows 10 Versi 1809 atau lebih baru.
Sokongan untuk sistem pengendalian ini mungkin dialih keluar dalam keluaran akan datang.
Intel Quartus Prime Standard Edition Versi 22.1 mengalih keluar sokongan untuk sistem pengendalian berikut:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Perubahan Sokongan Sistem Pengendalian dalam Intel Quartus Prime Edisi Standard Versi 21.1.1
Tiada perubahan sokongan sistem pengendalian dalam Intel Quartus Prime Standard Edition Versi 21.1.1.
Perubahan Sokongan Sistem Pengendalian dalam Intel Quartus Prime Edisi Standard Versi 21.1
Intel Quartus Prime Standard Edition Versi 21.1 menambah sokongan untuk sistem pengendalian berikut:
- CentOS Linux 8.2 kekal disokong oleh Intel Quartus Prime Standard Edition Versi 22.1
- Red Hat* Enterprise Linux 8.2 kekal disokong oleh Intel Quartus Prime Standard Edition Versi 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Pelayan Windows 2019
Sokongan untuk sistem pengendalian berikut ditamatkan pada Intel Quartus Prime Standard Edition Versi 21.1. Sokongan untuk sistem pengendalian ini mungkin dialih keluar dalam keluaran akan datang:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Versi 21.1 mengalih keluar sokongan untuk sistem pengendalian berikut:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Maklumat Berkaitan
Sokongan Sistem Pengendalian
1.4. Cadangan Ruang Cakera dan Memori
Pemasangan penuh perisian Intel Quartus Prime Standard Edition memerlukan sehingga 40 GB ruang cakera yang tersedia.
Konfigurasikan sistem anda untuk menyediakan memori maya tambahan yang sama dengan RAM fizikal yang disyorkan yang diperlukan untuk memproses reka bentuk anda. Memori maya tambahan ini dengan berkesan menggandakan jumlah memori berkesan yang tersedia untuk memproses reka bentuk anda.
Nota:
Memori maya puncak mungkin melebihi pengesyoran ini. Pengesyoran ini adalah berdasarkan jumlah memori fizikal yang diperlukan untuk mencapai masa jalan dalam 10% daripada yang dicapai pada perkakasan dengan jumlah RAM yang tidak terhingga.
Jadual 1.
Keperluan Memori untuk Memproses Reka Bentuk Arria®
Keperluan ini adalah sama untuk kedua-dua pemasangan Windows dan Linux.
Keluarga | Peranti | RAM Fizikal yang disyorkan |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Jadual 2.
Keperluan Memori untuk Memproses Reka Bentuk Cyclone®
Keperluan ini adalah sama untuk kedua-dua pemasangan Windows dan Linux.
Keluarga | Peranti | RAM Fizikal yang disyorkan |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Taufan V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Taufan IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Taufan IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Jadual 3.
Keperluan Memori untuk Memproses Reka Bentuk MAX
Keperluan ini adalah sama untuk kedua-dua pemasangan Windows dan Linux.
Keluarga | Peranti | RAM Fizikal yang disyorkan |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Semua | 512 MB |
MAX II | Semua | 512 MB |
Jadual 4.
Keperluan Memori untuk Memproses Reka Bentuk Stratix®
Keperluan ini adalah sama untuk kedua-dua pemasangan Windows dan Linux.
Keluarga | Peranti | RAM Fizikal yang disyorkan |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Sokongan Peranti dan Status Pin-Keluar
Semua peranti pengeluaran pada masa ini mempunyai kompilasi penuh, simulasi, analisis masa dan sokongan pengaturcaraan.
1.5.1. Perubahan dalam Sokongan Peranti
1.6. Model Masa, Model Kuasa dan Status Peranti
Jadual 5.
Model Pemasa, Model Kuasa dan Status Peranti untuk Peranti Intel Arria 10
Keluarga Peranti | Peranti | Status Model Masa | Status Model Kuasa | Status Peranti |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Akhir – 16.1 (3)(4) | Akhir – 17.0 | Akhir – 17.0 |
10AX048, 10AS048 | Akhir – 16.0.2 (4) | Akhir – 17.0 | Akhir – 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Akhir – 16.0.1 (4) | Akhir – 16.0.1 | Akhir – 16.0.1 | |
10AX115, 10AT115 | Akhir – 16.0 (4) | Akhir – 16.0 | Akhir – 16.0 |
(3) Peranti dengan gred kelajuan -1 telah dimuktamadkan dalam perisian Intel Quartus Prime versi 17.0
(4) Semua peranti gred tentera telah dimuktamadkan dalam perisian Intel Quartus Prime versi 18.0.1.
Jadual 6.
Model Pemasa, Model Kuasa dan Status Peranti untuk Peranti Intel Cyclone 10
Keluarga Peranti | Peranti | Status Model Masa | Status Model Kuasa | Status Peranti |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Akhir – 17.0 | Akhir – 17.1 | Akhir – 17.1 |
Jadual 7.
Model Pemasa, Model Kuasa dan Status Peranti untuk Peranti Intel MAX 10
Keluarga Peranti | Peranti | Status Model Masa | Status Model Kuasa | Status Peranti |
Intel MAX 10 | 10M02, 10M04, 10M08 | Akhir – 15.1 (5) | Akhir – 15.1 | Akhir – 15.1 |
10M16, 10M25, 10M40, 10M50 | Akhir – 15.1.2 | Akhir – 15.1 | Akhir – 15.1 |
Versi semasa perisian Intel Quartus Prime juga termasuk model pemasaan dan kuasa akhir untuk Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix V IV dan keluarga peranti Stratix. Model pemasaan untuk keluarga peranti ini menjadi muktamad dalam perisian Intel Quartus Prime versi 11.1 atau lebih awal.
1.7. Model IBIS
Jadual 8. Status Model IBIS untuk Perisian Edisi Standard Intel Quartus Prime Keluaran Versi 22.1std
Bermula dalam perisian Intel Quartus Prime Standard Edition versi 16.0, keluarga peranti mempunyai status model IBIS yang sama ada Advance, Preliminary atau Final.
Keluarga Peranti | Status Model IBIS |
Intel Arria 10 | Akhir – 16.1.2 |
Arria V | Berkorelasi dengan operasi peranti PHY – 14.0 |
Arria II GX | Berkorelasi dengan operasi peranti PHY – 11.1 |
Arria II GZ | Berkorelasi dengan operasi peranti PHY – 11.1 |
Intel Cyclone 10 LP | Akhir – 17.0 |
Taufan V | Berkorelasi dengan operasi peranti PHY – 14.0 |
Taufan IV E | Berkorelasi dengan operasi peranti PHY – 11.1 |
Taufan IV GX | Berkorelasi dengan operasi peranti PHY – 11.1 |
Intel MAX 10 | Akhir – 16.0 |
MAX V | Berkorelasi dengan operasi peranti PHY – 11.1 |
Stratix V | Berkorelasi dengan operasi peranti PHY – 13.0 SP1 |
Stratix IV | Berkorelasi dengan operasi peranti PHY – 11.1 |
Model IBIS yang dikemas kini tersedia dalam talian pada Model IBIS untuk Peranti Intel FPGA web muka surat. Halaman ini dikemas kini apabila model IBIS untuk peranti tersedia atau dikemas kini.
(5) Status model pemasaan untuk bahagian gred kelajuan MAX 10 A6 kekal sebagai Awal.
1.8. Maklumat Antara Muka EDA
Jadual 9.
Alat Sintesis Menyokong Intel Quartus Prime Edisi Standard Keluaran Perisian Versi 22.1std
Alat Sintesis | Versi |
Siemens EDA Precision* | Versi Siemens EDA Precision yang menyokong perisian Intel Quartus Prime biasanya dikeluarkan selepas keluaran perisian Intel Quartus Prime. Hubungi Siemens EDA untuk versi Siemens EDA Precision yang menyokong Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsys* Synplify*, Synplify Pro* dan Synplify Premier | Synopsys Synplify, Synplify Pro dan Synplify Premier versi yang menyokong perisian Intel Quartus Prime biasanya dikeluarkan selepas keluaran perisian Intel Quartus Prime. Hubungi Synopsys untuk versi Synopsys Synplify, Synplify Pro dan Synplify Premier yang menyokong Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Jadual 10.
Alat Simulasi Menyokong Intel Quartus Prime Edisi Standard Keluaran Perisian Versi 22.1std
Alat simulasi berikut menyediakan RTL dan simulasi peringkat get berfungsi. Hanya alat simulasi 64-bit disokong.
Alat Simulasi | Versi |
Aldec Active-HDL | 13.0 (Windows sahaja) |
Aldec Riviera-PRO | 2019.1 |
Irama Xcelium* Simulasi Logik Selari | 21.09.003 (Linux* sahaja) |
Questa-Intel FPGA Edition | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* dan VCS MX | P-2019.06-SP2-5 (Linux sahaja) |
Questa-Intel FPGA Edition memerlukan daemon pelesenan FlexLM versi 11.16.4.0 (atau lebih baru). Anda boleh mendapatkan daemon pelesenan daripada Daemon Lesen FlexLM untuk Perisian Intel FPGA web muka surat.
Anda boleh mendapatkan alat simulasi Edisi FPGA Intel daripada Pusat Muat Turun untuk FPGA.
Sokongan Sistem Pengendalian untuk Questa-Intel FPGA Edition Versi 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Maklumat Berkaitan
- Perisian Reka Bentuk Edisi Standard Intel Quartus Prime untuk Linux
- Perisian Reka Bentuk Edisi Standard Intel Quartus Prime untuk Windows
- Perisian Reka Bentuk Intel Quartus Prime Lite Edition untuk Linux
- Perisian Reka Bentuk Intel Quartus Prime Lite Edition untuk Windows
1.9. Pengesahan Antivirus
Perisian Intel Quartus Prime telah disahkan bebas virus dengan perisian berikut:
Perisian Pengesahan Antivirus untuk Intel Quartus Prime Edisi Standard Versi 22.1std.1
Baris Perintah McAfee VirusScan untuk Linux64 Versi: 7.0.0.477
Versi Enjin AV: 6300.9389 untuk Linux64.
Versi set dat: 10629 dibuat pada 22 Feb 2023
Perisian Pengesahan Antivirus untuk Intel Quartus Prime Edisi Standard Versi 22.1std
Baris Perintah McAfee VirusScan untuk Linux64 Versi: 7.0.0.477
Versi Enjin AV: 6300.9389 untuk Linux64.
Versi set dat: 10505 dibuat pada 19 Okt 2022
1.10. Isu Perisian Selesai
Tiada permintaan perkhidmatan pelanggan telah ditetapkan sebaliknya diselesaikan dalam Intel Quartus Prime Standard Edition Versi 22.1std.1.
Permintaan perkhidmatan pelanggan berikut telah ditetapkan atau diselesaikan dalam Intel Quartus Prime Standard Edition Versi 22.1std:
Jadual 11.
Isu Selesai dalam Intel Quartus Prime Edisi Standard Versi 22.1std
Nombor Kes Sokongan Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Tampalan Perisian Termasuk dalam Keluaran ini
Intel Quartus Prime Standard Edition Versi 22.1std.1 mengandungi patch berikut untuk versi sebelumnya perisian Intel Quartus Prime Standard Edition:
Jadual 12.
Tampalan Perisian disertakan dalam Versi Edisi Standard Intel Quartus Prime 22.1hb.1
Versi perisian | Tampalan | Nombor Permintaan Perkhidmatan Pelanggan |
Intel Quartus Prime Versi 22.1 | 0.01hb | – |
Intel Quartus Prime Versi 21.1 | 0.14hb | 00741067 |
Intel Quartus Prime Standard Edition Version 22.1std mengandungi patch berikut untuk versi sebelumnya bagi perisian Intel Quartus Prime Standard Edition:
Jadual 13. Tampalan Perisian disertakan dalam Intel Quartus Prime Edisi Standard Versi 22.1std
Versi perisian | Tampalan | Nombor Permintaan Perkhidmatan Pelanggan |
Intel Quartus Prime Versi 21.1 | 0.10hb | – |
Intel Quartus Prime Versi 21.1 | 0.08hb | 00693884 |
Intel Quartus Prime Versi 21.1 | 0.07hb | 00501636 |
Intel Quartus Prime Versi 21.1 | 0.06hb | 00689611 |
Intel Quartus Prime Versi 21.1 | 0.04stdp | – |
Intel Quartus Prime Versi 21.1 | 0.03hb | – |
Intel Quartus Prime Versi 21.1 | 0.02hb | – |
Intel Quartus Prime Versi 20.1.1 | 1.09hb | 00702107 |
Intel Quartus Prime Versi 20.1 | 0.14hb | 00702107 |
Intel Quartus Prime Versi 18.1.1 | 1.13hb | – |
Intel Quartus Prime Versi 18.1.1 | 1.12hb | – |
Intel Quartus Prime Versi 18.1.1 | 1.09hb | – |
Intel Quartus Prime Versi 18.1 | 0.23hb | 00698210 |
Intel Quartus Prime Versi 18.1 | 0.21hb | 00669646 |
Intel Quartus Prime Versi 18.1 | 0.20hb | 00689611 |
1.12. Isu Perisian Intel Quartus Prime Terkini yang Diketahui
Maklumat tentang isu yang diketahui yang mempengaruhi Intel Quartus Prime Standard Edition Versi 22.1std tersedia dalam Pangkalan Pengetahuan Intel FPGA.
Untuk mendapatkan maklumat terkini tentang isu yang mempengaruhi Intel Quartus Prime Standard Edition Versi 22.1std, semulaview artikel Pangkalan Pengetahuan Intel FPGA yang digunakan untuk Intel Quartus Prime Standard Edition Version 22.1std.
Jadual 14.
Isu Penting Yang Diketahui Mempengaruhi Intel Quartus Prime Edisi Standard Versi 22.1std
Penerangan | Penyelesaian |
Pada sistem Microsoft* Windows, reka bentuk IP Intel FPGA SDI II example generation gagal dengan mesej ralat berikut: Ralat: Gagal menjana exampreka bentuk bekasample_design kepada:: \sdi_ii_0_example_design |
Untuk butiran dan ketersediaan pembetulan, rujuk Mengapa SDI II Intel FPGA IP reka bentuk exampgenerasi gagal apabila menggunakan Perisian Intel Quartus Prime untuk Windows? dalam Pangkalan Pengetahuan Intel FPGA. |
Pada sistem Microsoft Windows, ralat berikut berlaku apabila menjana Intel Arria 10 EMIF Example Reka bentuk untuk simulasi: Ralat: emif_0: Ralat telah berlaku semasa menjana simulasi exampreka bentuk. Lihat make_sim_design_errors.log untuk butiran. Ralat: Gagal menjana exampreka bentuk kepada:ampdirektori reka bentuk> Jana Cthample Design: dilengkapkan dengan ralat |
Anda boleh mengabaikan mesej amaran ini dengan selamat. Simulasi file set untuk perisian simulasi Siemens EDA Questa dan Aldec Riviera-PRO dihasilkan dan mengandungi reka bentuk yang berkaitan files untuk menjalankan simulasi dengan jayanya. Untuk butiran lanjut dan ketersediaan pembetulan, rujuk Mengapa Intel Arria 10 EMIF ExampPenjanaan Reka Bentuk Gagal apabila menggunakan Perisian Edisi Standard Intel Quartus Prime Versi 22.1 untuk Windows? dalam Pangkalan Pengetahuan Intel FPGA. |
Apabila anda menggunakan mod Intel Arria 10 EMIF IP Skip Calibration, simulasi Intel Arria 10 EMIF IP dengan perisian simulasi Siemens EDA Questa (Siemens EDA Questa Advanced Simulator atau Questa-Intel FPGA Edition) boleh digantung. |
Gunakan PHY Abstrak untuk pilihan simulasi simulasi pantas untuk mengelakkan hang. Untuk butiran lanjut dan ketersediaan pembetulan, rujuk Mengapa Simulasi Intel Arria 10 EMIF IP dalam simulator Mentor tergantung apabila menggunakan Intel Quartus Prime Standard Edition Software versi 22.1 dalam Pangkalan Pengetahuan Intel FPGA. |
Anda boleh mendapatkan maklumat isu yang diketahui untuk versi sebelumnya bagi perisian Quartus Prime pada Pangkalan Pengetahuan Intel FPGA web muka surat.
Maklumat tentang isu perisian yang diketahui yang mempengaruhi versi sebelumnya bagi perisian Quartus II tersedia pada Sokongan Perisian Intel Quartus Prime dan Quartus II web muka surat.
Maklumat tentang isu yang mempengaruhi Perpustakaan IP FPGA Intel tersedia dalam nota keluaran untuk setiap IP. Anda boleh menemui nota keluaran IP pada Indeks Dokumentasi FPGA Intel web muka surat.
Maklumat Berkaitan
- Pangkalan Pengetahuan Intel FPGA
- Sokongan Perisian Intel Quartus Prime dan Quartus II
- Nota Keluaran Intel FPGA dan Peranti Boleh Aturcara
1.13. Perisian dan Peranti Edisi Standard Intel Quartus Prime Arkib Nota Keluaran Sokongan
Untuk versi terkini dan sebelumnya bagi nota keluaran ini, rujuk Nota Keluaran Perisian dan Sokongan Peranti Edisi Piawai Intel Quartus Prime. Jika versi perisian tidak disenaraikan, nota keluaran untuk versi perisian sebelumnya terpakai.
1.14. Keluaran Perisian Edisi Standard Intel Quartus Prime Sejarah Semakan Dokumen Versi 22.1std
Versi Dokumen | Versi Intel Quartus Prime | Perubahan |
2023.03.21 | 22.1hb.1 | • Dikemas kini untuk Versi 22.1std.1 • Nombor versi diperbetulkan untuk Versi 22.1std. |
2022.11.07 | 22.1hb | • Mengemas kini Isu Perisian Terkini yang Diketahui. |
2022.10.31 | 22.1hb | • Pelepasan awal. |
Intel Quartus Prime Edisi Standard: Versi 22.1std Perisian dan Nota Keluaran Sokongan Peranti
Versi Dalam Talian
Hantar Maklum Balas
ID: 683593
RN-01080-22.1hb
Versi: 2023.03.21
Dokumen / Sumber
![]() |
intel Quartus Prime Edisi Standard [pdf] Panduan Pengguna Quartus Prime Edisi Standard, Edisi Standard Perdana, Edisi Standard |