eCPRI Intel® FPGA IP Design
Example Panduan Pengguna
Dikemas kini untuk Intel®
Quartus®
Suite Reka Bentuk Perdana: 23.1
Versi IP: 2.0.3
Panduan Mula Pantas
Teras IP FPGA Intel® Common Public Radio Interface (eCPRI) yang dipertingkatkan melaksanakan spesifikasi eCPRI versi 2.0. IP eCPRI Intel FPGA menyediakan meja ujian simulasi dan reka bentuk perkakasan example yang menyokong kompilasi dan ujian perkakasan. Apabila anda menjana reka bentuk exampOleh itu, editor parameter secara automatik mencipta files perlu untuk mensimulasikan, menyusun dan menguji reka bentuk cthample dalam perkakasan.
Reka bentuk perkakasan yang disusun example berjalan pada:
- Kit Pembangunan FPGA Intel Agilex™ 7 I-Series
- Kit Pembangunan Intel Agilex 7 I-Series Transceiver-SoC
- Kit Pembangunan Intel Agilex 7 F-Series Transceiver-SoC
- Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix® 10 GX untuk reka bentuk jubin H bekasamples
- Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 TX untuk reka bentuk E-jubin bekasamples
- Kit Pembangunan Integriti Isyarat Transceiver Intel Arria® 10 GX
Intel menyediakan bekas kompilasi sahajaampprojek yang boleh anda gunakan untuk menganggarkan kawasan teras IP dan masa dengan cepat.
Meja ujian dan reka bentuk example menyokong kadar data 25G dan 10G untuk variasi peranti Intel Stratix 10 H-tile atau E-tile dan Intel Agilex 7 E-tile atau F-tile IP eCPRI.
Nota: Reka bentuk IP eCPRI example with interworking function (IWF) hanya tersedia untuk kadar bit talian CPRI 9.8 Gbps dalam keluaran semasa.
Nota: Reka bentuk IP eCPRI example tidak menyokong konfigurasi semula dinamik untuk kadar data 10G dalam reka bentuk Intel Arria 10.
Reka bentuk teras IP Intel FPGA eCPRI example menyokong ciri berikut:
- Mod gelung balik bersiri TX ke RX dalaman
- Penjana dan penyemak trafik
- Keupayaan semakan paket asas
- Keupayaan untuk menggunakan Konsol Sistem untuk menjalankan reka bentuk dan menetapkan semula reka bentuk untuk tujuan ujian semula
Perbadanan Intel. Hak cipta terpelihara. Intel, logo Intel dan tanda Intel lain ialah tanda dagangan Intel Corporation atau anak syarikatnya. Intel menjamin prestasi produk FPGA dan semikonduktornya mengikut spesifikasi semasa menurut waranti standard Intel, tetapi berhak untuk membuat perubahan pada mana-mana produk dan perkhidmatan pada bila-bila masa tanpa notis. Intel tidak memikul tanggungjawab atau liabiliti yang timbul daripada aplikasi atau penggunaan mana-mana maklumat, produk atau perkhidmatan yang diterangkan di sini kecuali seperti yang dipersetujui secara bertulis oleh Intel. Pelanggan Intel dinasihatkan untuk mendapatkan versi terkini spesifikasi peranti sebelum bergantung pada sebarang maklumat yang diterbitkan dan sebelum membuat pesanan untuk produk atau perkhidmatan. *Nama dan jenama lain boleh dituntut sebagai hak milik orang lain.
ISO 9001:2015 Berdaftar
Rajah 1. Langkah Pembangunan untuk Reka Bentuk Cthample
Maklumat Berkaitan
- Panduan Pengguna IP FPGA Intel eCPRI
- Nota Keluaran IP Intel FPGA eCPRI
1.1. Keperluan Perkakasan dan Perisian
Untuk menguji bekasampreka bentuk, gunakan perkakasan dan perisian berikut:
- Perisian Intel Quartus® Prime Pro Edition versi 23.1
- Konsol Sistem
- Simulator yang Disokong:
— Siemens* EDA QuestaSim*
— Synopsys* VCS*
— Synopsys VCS MX
— Aldec* Riviera-PRO*
— Irama* Xcelium* - Kit Pembangunan:
— Kit Pembangunan FPGA Intel Agilex 7 I-Series
— Kit Pembangunan Intel Agilex 7 I-Series Transceiver-SoC
— Kit Pembangunan Intel Agilex 7 F-Series Transceiver-SoC
— Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 GX untuk reka bentuk variasi peranti H-jubin example
— Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 TX untuk reka bentuk variasi peranti E-jubin example
— Kit Pembangunan Integriti Isyarat Transceiver Intel Arria 10 GX
Maklumat Berkaitan
- Panduan Pengguna Kit Pembangunan FPGA Intel Agilex 7 I-Series
- Panduan Pengguna Kit Pembangunan Intel Agilex 7 I-Series Transceiver-SoC
- Panduan Pengguna Kit Pembangunan Intel Agilex 7 F-Series Transceiver-SoC
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 GX
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 TX
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Arria 10 GX
1.2. Menjana Reka Bentuk
Prasyarat: Sebaik sahaja anda menerima eCPRI web-IP teras, simpan web-pemasang teras ke kawasan setempat. Jalankan pemasang dengan Windows/Linux. Apabila digesa, pasangkan webteras ke lokasi yang sama dengan folder Intel Quartus Prime.
IP eCPRI Intel FPGA kini muncul dalam Katalog IP.
Jika anda belum mempunyai projek Intel Quartus Prime Pro Edition untuk menyepadukan teras IP Intel FPGA eCPRI anda, anda mesti menciptanya.
- Dalam perisian Intel Quartus Prime Pro Edition, klik File ➤ Wizard Projek Baharu untuk mencipta projek Intel Quartus Prime baharu, atau klik File ➤ Open Project untuk membuka projek Intel Quartus Prime sedia ada. Wizard menggesa anda untuk menentukan peranti.
- Tentukan keluarga peranti dan peranti yang memenuhi keperluan gred kelajuan.
- Klik Selesai.
- Dalam Katalog IP, cari dan klik dua kali eCPRI Intel FPGA IP. Tetingkap Varian IP Baharu muncul.
Ikuti langkah ini untuk menjana reka bentuk perkakasan IP eCPRI example dan testbench:
- Dalam Katalog IP, cari dan klik dua kali eCPRI Intel FPGA IP. Tetingkap Varian IP Baharu muncul.
- Klik OK. Editor parameter muncul.
Rajah 2. ExampTab Reka Bentuk dalam Editor Parameter IP FPGA Intel eCPRI - Tentukan nama peringkat teratas untuk variasi IP tersuai anda. Editor parameter menyimpan tetapan variasi IP dalam a file bernama .ip.
- Klik OK. Editor parameter muncul.
- Pada tab Umum, tentukan parameter untuk variasi teras IP anda.
Nota: • Anda mesti menghidupkan parameter Penstriman dalam editor parameter IP eCPRI apabila anda menjana ex reka bentukampdengan Parameter Sokongan Interworking Function (IWF) didayakan,
• Anda mesti menetapkan Kadar Bit Talian CPRI (Gbit/s) kepada Lain-lain apabila menjana ex reka bentukampdengan Parameter Sokongan Interworking Function (IWF) didayakan. - Pada Example Design tab, pilih pilihan simulasi untuk menjana testbench, pilih pilihan sintesis untuk menjana perkakasan exampreka bentuk, dan pilih pilihan sintesis dan simulasi untuk menjana kedua-dua meja ujian dan reka bentuk perkakasan example.
- Untuk Bahasa untuk simulasi peringkat atas file, pilih Verilog atau VHDL.
Nota: Pilihan ini tersedia hanya apabila anda memilih pilihan Simulasi untuk bekas andaampreka bentuk. - Untuk Bahasa untuk sintesis peringkat atas file, pilih Verilog atau VHDL.
Nota: Pilihan ini tersedia hanya apabila anda memilih pilihan Sintesis untuk bekas andaampreka bentuk. - Untuk Bilangan Saluran, anda boleh memasukkan bilangan saluran (1 hingga 4) yang dimaksudkan untuk reka bentuk anda. Nilai lalai ialah 1.
- Klik Jana Example Reka bentuk. Pilihan Examptetingkap Direktori Reka Bentuk muncul.
- Jika anda ingin mengubah suai reka bentuk examplaluan direktori atau nama daripada lalai yang dipaparkan (ecpri_0_testbench), semak imbas ke laluan baharu dan taip bekas reka bentuk baharuample nama direktori.
- Klik OK.
Maklumat Berkaitan
Panduan Pengguna IP FPGA Intel eCPRI
1.3. Struktur Direktori
Reka bentuk teras IP eCPRI example file direktori mengandungi yang dijana berikut files untuk reka bentuk example.
Rajah 3. Struktur Direktori Ex Dijanaample Reka bentuk
Nota:
- Hanya terdapat dalam reka bentuk IP Intel Arria 10 example variasi.
- Hanya terdapat dalam reka bentuk IP Intel Stratix 10 (H-tile atau E-tile) example variasi.
- Hanya terdapat dalam reka bentuk IP Intel Agilex E-tile example variasi.
Jadual 1. eCPRI Intel FPGA IP Core Testbench File Penerangan
File Nama | Penerangan |
Meja Ujian dan Simulasi Utama Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | Meja ujian peringkat atas file. Meja ujian membuat seketika pembungkus DUT dan menjalankan tugas Verilog HDL untuk menjana dan menerima paket. |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | Pembalut DUT yang menjadikan DUT dan komponen meja ujian lain. |
<design_example_dir>/simulation/ed_fw/flow.c | Sumber kod C file. |
Skrip Testbench | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | Skrip Siemens EDA QuestaSim untuk menjalankan testbench. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | Skrip Synopsys VCS untuk menjalankan testbench. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Skrip Synopsys VCS MX (gabungan Verilog HDL dan SystemVerilog dengan VHDL) untuk menjalankan testbench. |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Skrip Aldec* Riviera-PRO untuk menjalankan testbench. |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | Skrip Cadence* Xcelium untuk menjalankan testbench. |
Jadual 2. eCPRI Intel FPGA IP Core Hardware Design Example File Penerangan
File Nama | Penerangan |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Projek Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Tetapan projek Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Kekangan Reka Bentuk Synopsys files. Anda boleh menyalin dan mengubah suai ini files untuk reka bentuk Intel Stratix 10 anda sendiri. |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | Reka bentuk Verilog HDL peringkat atas example file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | Pembalut DUT yang menjadikan DUT dan komponen meja ujian lain. |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | Utama file untuk mengakses Konsol Sistem (Tersedia dalam reka bentuk jubin Intel Stratix 10 H dan E-jubin). |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | Utama file untuk mengakses Konsol Sistem (Tersedia dalam reka bentuk Intel Arria 10). |
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | Utama file untuk mengakses Konsol Sistem (Tersedia dalam reka bentuk Intel Agilex 7). |
1.4. Mensimulasikan Reka Bentuk Cthample Testbench
Rajah 4. Prosedur
Ikuti langkah ini untuk mensimulasikan testbench:
- Pada gesaan arahan, tukar kepada direktori simulasi testbenchample_dir>/simulasi/setup_scripts.
- Untuk variasi peranti Intel Agilex F-tile, ikut langkah berikut:
a. Navigasi ke <design_example_dir>/simulation/quartus directory dan jalankan dua arahan ini di bawah: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
Secara bergantian, anda boleh membuka projek ecpri_ed.qpf dalam Intel Quartus Prime Pro Edition dan melaksanakan kompilasi sehingga Support Logic Generation stage.
b. Navigasi ke <design_example_dir>/simulation/setup_scripts direktori.
c. Jalankan arahan berikut: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - Jalankan skrip simulasi untuk simulator yang disokong pilihan anda. Skrip menyusun dan menjalankan testbench dalam simulator. Rujuk jadual Langkah-langkah untuk Mensimulasikan Testbench.
Nota: Sokongan bahasa VHDL untuk simulasi hanya tersedia dengan simulator QuestaSim dan VCS MX. Sokongan bahasa Verilog untuk simulasi tersedia untuk semua simulator yang disenaraikan dalam Jadual: Langkah untuk Mensimulasikan Testbench. - Menganalisis keputusan. Testbench yang berjaya menghantar dan menerima paket, dan memaparkan "LULUS".
Jadual 3. Langkah Mensimulasikan Testbench
Simulator | Arahan |
QuestaSim | Dalam baris arahan, taip vsim -do run_vsim.do Jika anda lebih suka mensimulasikan tanpa memunculkan GUI QuestaSim, taip vsim -c -do run_vsim.do |
VCS | • Dalam baris arahan, taip sh run_vcs.sh • Navigasi ke <design_example_dir>/simulation/setup_scripts/ synopsys/vcs dan jalankan arahan berikut: sh run_vcs.sh |
VCS MX | Dalam baris arahan, taip sh run_vcsmx.sh |
Riviera-PRO | Dalam baris arahan, taip vsim -c -do run_rivierapro.tcl Nota: Hanya disokong dalam variasi reka bentuk jubin Intel Stratix 10 H. |
Xcelium(1) | Dalam baris arahan, taip sh run_xcelium.sh |
- Simulator ini tidak disokong untuk reka bentuk IP FPGA Intel eCPRI example dijana dengan ciri IWF didayakan.
Sample Output: S berikutampoutput menggambarkan kejayaan ujian simulasi bagi reka bentuk IP eCPRI example tanpa ciri IWF didayakan dengan Bilangan Saluran = 4:
# Menunggu penjajaran RX
# RX deskew dikunci
# Jajaran lorong RX dikunci
# Menunggu kesalahan pautan jelas
# Kesalahan pautan jelas
# Alamat Sumber MAC 0_0 Saluran 0: 33445566
# Alamat Sumber MAC 0_1 Saluran 0: 00007788
# Alamat Destinasi MAC 0_0 Saluran 0: 33445566
# Alamat Destinasi MAC 0_1 Saluran 0: 00007788
# Alamat Destinasi MAC 1_0 Saluran 0: 11223344
# Alamat Destinasi MAC 1_1 Saluran 0: 00005566
# Alamat Destinasi MAC 2_0 Saluran 0: 22334455
# Alamat Destinasi MAC 2_1 Saluran 0: 00006677
# Alamat Destinasi MAC 3_0 Saluran 0: 44556677
# Alamat Destinasi MAC 3_1 Saluran 0: 00008899
# Alamat Destinasi MAC 4_0 Saluran 0: 66778899
# Alamat Destinasi MAC 4_1 Saluran 0: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 0: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 0: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 0: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 0: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 0: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 0: 0000ddee
# Saluran Kawalan Biasa eCPRI 0: 00000041
# Dayakan sampukan eCPRI Common Control Channel 0: 00000241
# versi eCPRI Saluran 0: 2
# Alamat Sumber MAC 0_0 Saluran 1: 33445566
# Alamat Sumber MAC 0_1 Saluran 1: 00007788
# Alamat Destinasi MAC 0_0 Saluran 1: 33445566
# Alamat Destinasi MAC 0_1 Saluran 1: 00007788
# Alamat Destinasi MAC 1_0 Saluran 1: 11223344
# Alamat Destinasi MAC 1_1 Saluran 1: 00005566
# Alamat Destinasi MAC 2_0 Saluran 1: 22334455
# Alamat Destinasi MAC 2_1 Saluran 1: 00006677
# Alamat Destinasi MAC 3_0 Saluran 1: 44556677
# Alamat Destinasi MAC 3_1 Saluran 1: 00008899
# Alamat Destinasi MAC 4_0 Saluran 1: 66778899
# Alamat Destinasi MAC 4_1 Saluran 1: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 1: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 1: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 1: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 1: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 1: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 1: 0000ddee
# Saluran Kawalan Biasa eCPRI 1: 00000041
# Dayakan sampukan eCPRI Common Control Channel 1: 00000241
# versi eCPRI Saluran 1: 2
# Alamat Sumber MAC 0_0 Saluran 2: 33445566
# Alamat Sumber MAC 0_1 Saluran 2: 00007788
# Alamat Destinasi MAC 0_0 Saluran 2: 33445566
# Alamat Destinasi MAC 0_1 Saluran 2: 00007788
# Alamat Destinasi MAC 1_0 Saluran 2: 11223344
# Alamat Destinasi MAC 1_1 Saluran 2: 00005566
# Alamat Destinasi MAC 2_0 Saluran 2: 22334455
# Alamat Destinasi MAC 2_1 Saluran 2: 00006677
# Alamat Destinasi MAC 3_0 Saluran 2: 44556677
# Alamat Destinasi MAC 3_1 Saluran 2: 00008899
# Alamat Destinasi MAC 4_0 Saluran 2: 66778899
# Alamat Destinasi MAC 4_1 Saluran 2: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 2: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 2: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 2: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 2: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 2: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 2: 0000ddee
# Saluran Kawalan Biasa eCPRI 2: 00000041
# Dayakan sampukan eCPRI Common Control Channel 2: 00000241
# versi eCPRI Saluran 2: 2
# Alamat Sumber MAC 0_0 Saluran 3: 33445566
# Alamat Sumber MAC 0_1 Saluran 3: 00007788
# Alamat Destinasi MAC 0_0 Saluran 3: 33445566
# Alamat Destinasi MAC 0_1 Saluran 3: 00007788
# Alamat Destinasi MAC 1_0 Saluran 3: 11223344
# Alamat Destinasi MAC 1_1 Saluran 3: 00005566
# Alamat Destinasi MAC 2_0 Saluran 3: 22334455
# Alamat Destinasi MAC 2_1 Saluran 3: 00006677
# Alamat Destinasi MAC 3_0 Saluran 3: 44556677
# Alamat Destinasi MAC 3_1 Saluran 3: 00008899
# Alamat Destinasi MAC 4_0 Saluran 3: 66778899
# Alamat Destinasi MAC 4_1 Saluran 3: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 3: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 3: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 3: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 3: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 3: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 3: 0000ddee
# Saluran Kawalan Biasa eCPRI 3: 00000041
# Dayakan sampukan eCPRI Common Control Channel 3: 00000241
# versi eCPRI Saluran 3: 2
# _________________________________________________________
# INFO: Kehabisan status set semula
# _________________________________________________________
#
#
# Kiraan SOP eCPRI TX Saluran 0 : 0
# Kiraan EOP eCPRI TX Saluran 0 : 0
# Kiraan SOP eCPRI RX Saluran 0 : 0
# Kiraan EOP eCPRI RX Saluran 0 : 0
# Saluran 0 Kiraan SOP PTP TX Luaran : 0
# Saluran 0 Kiraan EOP PTP TX Luaran : 0
# Saluran 0 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 0 : 0
# Saluran 0 Kiraan SOP RX Luaran : 0
# Saluran 0 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 1 : 0
# Kiraan EOP eCPRI TX Saluran 1 : 0
# Kiraan SOP eCPRI RX Saluran 1 : 0
# Kiraan EOP eCPRI RX Saluran 1 : 0
# Saluran 1 Kiraan SOP PTP TX Luaran : 0
# Saluran 1 Kiraan EOP PTP TX Luaran : 0
# Saluran 1 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 1 : 0
# Saluran 1 Kiraan SOP RX Luaran : 0
# Saluran 1 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 2 : 0
# Kiraan EOP eCPRI TX Saluran 2 : 0
# Kiraan SOP eCPRI RX Saluran 2 : 0
# Kiraan EOP eCPRI RX Saluran 2 : 0
# Saluran 2 Kiraan SOP PTP TX Luaran : 0
# Saluran 2 Kiraan EOP PTP TX Luaran : 0
# Saluran 2 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 2 : 0
# Saluran 2 Kiraan SOP RX Luaran : 0
# Saluran 2 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 3 : 0
# Kiraan EOP eCPRI TX Saluran 3 : 0
# Kiraan SOP eCPRI RX Saluran 3 : 0
# Kiraan EOP eCPRI RX Saluran 3 : 0
# Saluran 3 Kiraan SOP PTP TX Luaran : 0
# Saluran 3 Kiraan EOP PTP TX Luaran : 0
# Saluran 3 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 3 : 0
# Saluran 3 Kiraan SOP RX Luaran : 0
# Saluran 3 Kiraan EOP RX Luaran : 0
# _________________________________________________________
# INFO: Mula menghantar paket
# _________________________________________________________
#
#
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 0 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 0 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 0 ke
lengkap
# INFO: Saluran 0 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 0 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 1 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 1 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 1 ke
lengkap
# INFO: Saluran 1 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 1 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 2 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 2 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 2 ke
lengkap
# INFO: Saluran 2 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 2 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 3 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 3 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 3 ke
lengkap
# INFO: Saluran 3 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 3 eCPRI External TX Misc pemindahan trafik selesai
# _________________________________________________________
# INFO: Berhenti menghantar paket
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Menyemak statistik paket
# _________________________________________________________
#
#
# Saluran 0 eCPRI SOP dihantar: 300
# Saluran 0 eCPRI EOP dihantar: 300
# SOP eCPRI Saluran 0 diterima: 300
# Saluran 0 eCPRI EOP diterima: 300
# Ralat eCPRI Saluran 0 dilaporkan: 0
# Saluran 0 SOP PTP Luaran dihantar: 4
# Saluran 0 PTP EOP Luaran dihantar: 4
# Saluran 0 SOP MISC Luaran dihantar: 128
# Saluran 0 MISC Luaran EOP dihantar: 128
# Saluran 0 SOP Luaran diterima: 132
# Saluran 0 EOP Luaran diterima: 132
# Saluran 0 SOP PTP Luaran diterima: 4
# Saluran 0 PTP EOP Luaran diterima: 4
# Saluran 0 SOP MISC Luaran diterima: 128
# Saluran 0 MISC Luaran EOP diterima: 128
# Saluran 0 Ralat Luaran dilaporkan: 0
# Saluran 0 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 1 eCPRI SOP dihantar: 300
# Saluran 1 eCPRI EOP dihantar: 300
# SOP eCPRI Saluran 1 diterima: 300
# Saluran 1 eCPRI EOP diterima: 300
# Ralat eCPRI Saluran 1 dilaporkan: 0
# Saluran 1 SOP PTP Luaran dihantar: 4
# Saluran 1 PTP EOP Luaran dihantar: 4
# Saluran 1 SOP MISC Luaran dihantar: 128
# Saluran 1 MISC Luaran EOP dihantar: 128
# Saluran 1 SOP Luaran diterima: 132
# Saluran 1 EOP Luaran diterima: 132
# Saluran 1 SOP PTP Luaran diterima: 4
# Saluran 1 PTP EOP Luaran diterima: 4
# Saluran 1 SOP MISC Luaran diterima: 128
# Saluran 1 MISC Luaran EOP diterima: 128
# Saluran 1 Ralat Luaran dilaporkan: 0
# Saluran 1 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 2 eCPRI SOP dihantar: 300
# Saluran 2 eCPRI EOP dihantar: 300
# SOP eCPRI Saluran 2 diterima: 300
# Saluran 2 eCPRI EOP diterima: 300
# Ralat eCPRI Saluran 2 dilaporkan: 0
# Saluran 2 SOP PTP Luaran dihantar: 4
# Saluran 2 PTP EOP Luaran dihantar: 4
# Saluran 2 SOP MISC Luaran dihantar: 128
# Saluran 2 MISC Luaran EOP dihantar: 128
# Saluran 2 SOP Luaran diterima: 132
# Saluran 2 EOP Luaran diterima: 132
# Saluran 2 SOP PTP Luaran diterima: 4
# Saluran 2 PTP EOP Luaran diterima: 4
# Saluran 2 SOP MISC Luaran diterima: 128
# Saluran 2 MISC Luaran EOP diterima: 128
# Saluran 2 Ralat Luaran dilaporkan: 0
# Saluran 2 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 3 eCPRI SOP dihantar: 300
# Saluran 3 eCPRI EOP dihantar: 300
# SOP eCPRI Saluran 3 diterima: 300
# Saluran 3 eCPRI EOP diterima: 300
# Ralat eCPRI Saluran 3 dilaporkan: 0
# Saluran 3 SOP PTP Luaran dihantar: 4
# Saluran 3 PTP EOP Luaran dihantar: 4
# Saluran 3 SOP MISC Luaran dihantar: 128
# Saluran 3 MISC Luaran EOP dihantar: 128
# Saluran 3 SOP Luaran diterima: 132
# Saluran 3 EOP Luaran diterima: 132
# Saluran 3 SOP PTP Luaran diterima: 4
# Saluran 3 PTP EOP Luaran diterima: 4
# Saluran 3 SOP MISC Luaran diterima: 128
# Saluran 3 MISC Luaran EOP diterima: 128
# Saluran 3 Ralat Luaran dilaporkan: 0
# Saluran 3 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# _________________________________________________________
# INFO: Ujian LULUS
#
# _________________________________________________________
Sample Output: S berikutampoutput menggambarkan kejayaan ujian simulasi bagi reka bentuk IP eCPRI example dengan ciri IWF didayakan dengan Bilangan Saluran = 4:
# Dayakan CPRI TX
# Saluran CPRI 0 L1_CONFIG : 00000001
# Saluran CPRI 0 CPRI_CORE_CM_CONFIG : 00001ed4
# Saluran CPRI 1 L1_CONFIG : 00000001
# Saluran CPRI 1 CPRI_CORE_CM_CONFIG : 00001ed4
# Saluran CPRI 2 L1_CONFIG : 00000001
# Saluran CPRI 2 CPRI_CORE_CM_CONFIG : 00001ed4
# Saluran CPRI 3 L1_CONFIG : 00000001
# Saluran CPRI 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Menunggu penjajaran RX
# RX deskew dikunci
# Jajaran lorong RX dikunci
# Menunggu kesalahan pautan jelas
# Kesalahan pautan jelas
# Alamat Sumber MAC 0_0 Saluran 0: 33445566
# Alamat Sumber MAC 0_1 Saluran 0: 00007788
# Alamat Destinasi MAC 0_0 Saluran 0: 33445566
# Alamat Destinasi MAC 0_1 Saluran 0: 00007788
# Alamat Destinasi MAC 1_0 Saluran 0: 11223344
# Alamat Destinasi MAC 1_1 Saluran 0: 00005566
# Alamat Destinasi MAC 2_0 Saluran 0: 22334455
# Alamat Destinasi MAC 2_1 Saluran 0: 00006677
# Alamat Destinasi MAC 3_0 Saluran 0: 44556677
# Alamat Destinasi MAC 3_1 Saluran 0: 00008899
# Alamat Destinasi MAC 4_0 Saluran 0: 66778899
# Alamat Destinasi MAC 4_1 Saluran 0: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 0: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 0: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 0: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 0: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 0: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 0: 0000ddee
# Saluran Kawalan Biasa eCPRI 0: 00000041
# Dayakan sampukan eCPRI Common Control Channel 0: 00000241
# versi eCPRI Saluran 0: 2
# Alamat Sumber MAC 0_0 Saluran 1: 33445566
# Alamat Sumber MAC 0_1 Saluran 1: 00007788
# Alamat Destinasi MAC 0_0 Saluran 1: 33445566
# Alamat Destinasi MAC 0_1 Saluran 1: 00007788
# Alamat Destinasi MAC 1_0 Saluran 1: 11223344
# Alamat Destinasi MAC 1_1 Saluran 1: 00005566
# Alamat Destinasi MAC 2_0 Saluran 1: 22334455
# Alamat Destinasi MAC 2_1 Saluran 1: 00006677
# Alamat Destinasi MAC 3_0 Saluran 1: 44556677
# Alamat Destinasi MAC 3_1 Saluran 1: 00008899
# Alamat Destinasi MAC 4_0 Saluran 1: 66778899
# Alamat Destinasi MAC 4_1 Saluran 1: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 1: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 1: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 1: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 1: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 1: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 1: 0000ddee
# Saluran Kawalan Biasa eCPRI 1: 00000041
# Dayakan sampukan eCPRI Common Control Channel 1: 00000241
# versi eCPRI Saluran 1: 2
# Alamat Sumber MAC 0_0 Saluran 2: 33445566
# Alamat Sumber MAC 0_1 Saluran 2: 00007788
# Alamat Destinasi MAC 0_0 Saluran 2: 33445566
# Alamat Destinasi MAC 0_1 Saluran 2: 00007788
# Alamat Destinasi MAC 1_0 Saluran 2: 11223344
# Alamat Destinasi MAC 1_1 Saluran 2: 00005566
# Alamat Destinasi MAC 2_0 Saluran 2: 22334455
# Alamat Destinasi MAC 2_1 Saluran 2: 00006677
# Alamat Destinasi MAC 3_0 Saluran 2: 44556677
# Alamat Destinasi MAC 3_1 Saluran 2: 00008899
# Alamat Destinasi MAC 4_0 Saluran 2: 66778899
# Alamat Destinasi MAC 4_1 Saluran 2: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 2: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 2: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 2: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 2: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 2: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 2: 0000ddee
# Saluran Kawalan Biasa eCPRI 2: 00000041
# Dayakan sampukan eCPRI Common Control Channel 2: 00000241
# versi eCPRI Saluran 2: 2
# Alamat Sumber MAC 0_0 Saluran 3: 33445566
# Alamat Sumber MAC 0_1 Saluran 3: 00007788
# Alamat Destinasi MAC 0_0 Saluran 3: 33445566
# Alamat Destinasi MAC 0_1 Saluran 3: 00007788
# Alamat Destinasi MAC 1_0 Saluran 3: 11223344
# Alamat Destinasi MAC 1_1 Saluran 3: 00005566
# Alamat Destinasi MAC 2_0 Saluran 3: 22334455
# Alamat Destinasi MAC 2_1 Saluran 3: 00006677
# Alamat Destinasi MAC 3_0 Saluran 3: 44556677
# Alamat Destinasi MAC 3_1 Saluran 3: 00008899
# Alamat Destinasi MAC 4_0 Saluran 3: 66778899
# Alamat Destinasi MAC 4_1 Saluran 3: 0000aabb
# Alamat Destinasi MAC 5_0 Saluran 3: 778899aa
# Alamat Destinasi MAC 5_1 Saluran 3: 0000bbcc
# Alamat Destinasi MAC 6_0 Saluran 3: 8899aabb
# Alamat Destinasi MAC 6_1 Saluran 3: 0000ccdd
# Alamat Destinasi MAC 7_0 Saluran 3: 99aabbcc
# Alamat Destinasi MAC 7_1 Saluran 3: 0000ddee
# Saluran Kawalan Biasa eCPRI 3: 00000041
# Dayakan sampukan eCPRI Common Control Channel 3: 00000241
# versi eCPRI Saluran 3: 2
# Menunggu CPRI mencapai keadaan pautan HSYNC
# CPRI Saluran 0 keadaan HSYNC dicapai
# CPRI Saluran 1 keadaan HSYNC dicapai
# CPRI Saluran 2 keadaan HSYNC dicapai
# CPRI Saluran 3 keadaan HSYNC dicapai
# 11100250000 Tulis 1 untuk nego_bitrate_complete
# 11100650000 Undian PROT_VER Saluran 0
# _________________________________________________________
# 11100850000 Daftar pengundian: a0000010
# _________________________________________________________
# 13105050000 Undian PROT_VER Saluran 1
# _________________________________________________________
# 13105250000 Daftar pengundian: a0800010
# _________________________________________________________
# 13105950000 Undian PROT_VER Saluran 2
# _________________________________________________________
# 13106150000 Daftar pengundian: a1000010
# _________________________________________________________
# 13106850000 Undian PROT_VER Saluran 3
# _________________________________________________________
# 13107050000 Daftar pengundian: a1800010
# _________________________________________________________
# 13107750000 Tulis 1 untuk nego_protol_complete
# 13108150000 Undian CM_STATUS.rx_fast_cm_ptr_valid Saluran 0
# _________________________________________________________
# 13108350000 Daftar pengundian: a0000020
# _________________________________________________________
# 14272050000 Undian CM_STATUS.rx_fast_cm_ptr_valid Saluran 1
# _________________________________________________________
# 14272250000 Daftar pengundian: a0800020
# _________________________________________________________
# 14272950000 Undian CM_STATUS.rx_fast_cm_ptr_valid Saluran 2
# _________________________________________________________
# 14273150000 Daftar pengundian: a1000020
# _________________________________________________________
# 14273850000 Undian CM_STATUS.rx_fast_cm_ptr_valid Saluran 3
# _________________________________________________________
# 14274050000 Daftar pengundian: a1800020
# _________________________________________________________
# 14274750000 Tulis 1 kepada nego_cm_complete
# 14275150000 Tulis 1 untuk nego_vss_complete
# Menunggu CPRI Saluran 0 mencapai HSYNC & urutan permulaan FSM STATE_F
# CPRI Saluran 0 HSYNC & urutan permulaan FSM STATE_F dicapai
# Menunggu CPRI Saluran 1 mencapai HSYNC & urutan permulaan FSM STATE_F
# CPRI Saluran 1 HSYNC & urutan permulaan FSM STATE_F dicapai
# Menunggu CPRI Saluran 2 mencapai HSYNC & urutan permulaan FSM STATE_F
# CPRI Saluran 2 HSYNC & urutan permulaan FSM STATE_F dicapai
# Menunggu CPRI Saluran 3 mencapai HSYNC & urutan permulaan FSM STATE_F
# CPRI Saluran 3 HSYNC & urutan permulaan FSM STATE_F dicapai
# _________________________________________________________
# INFO: Kehabisan status set semula
# _________________________________________________________
#
#
# Kiraan SOP eCPRI TX Saluran 0 : 0
# Kiraan EOP eCPRI TX Saluran 0 : 0
# Kiraan SOP eCPRI RX Saluran 0 : 0
# Kiraan EOP eCPRI RX Saluran 0 : 0
# Saluran 0 Kiraan SOP PTP TX Luaran : 0
# Saluran 0 Kiraan EOP PTP TX Luaran : 0
# Saluran 0 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 0 : 0
# Saluran 0 Kiraan SOP RX Luaran : 0
# Saluran 0 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 1 : 0
# Kiraan EOP eCPRI TX Saluran 1 : 0
# Kiraan SOP eCPRI RX Saluran 1 : 0
# Kiraan EOP eCPRI RX Saluran 1 : 0
# Saluran 1 Kiraan SOP PTP TX Luaran : 0
# Saluran 1 Kiraan EOP PTP TX Luaran : 0
# Saluran 1 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 1 : 0
# Saluran 1 Kiraan SOP RX Luaran : 0
# Saluran 1 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 2 : 0
# Kiraan EOP eCPRI TX Saluran 2 : 0
# Kiraan SOP eCPRI RX Saluran 2 : 0
# Kiraan EOP eCPRI RX Saluran 2 : 0
# Saluran 2 Kiraan SOP PTP TX Luaran : 0
# Saluran 2 Kiraan EOP PTP TX Luaran : 0
# Saluran 2 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 2 : 0
# Saluran 2 Kiraan SOP RX Luaran : 0
# Saluran 2 Kiraan EOP RX Luaran : 0
# Kiraan SOP eCPRI TX Saluran 3 : 0
# Kiraan EOP eCPRI TX Saluran 3 : 0
# Kiraan SOP eCPRI RX Saluran 3 : 0
# Kiraan EOP eCPRI RX Saluran 3 : 0
# Saluran 3 Kiraan SOP PTP TX Luaran : 0
# Saluran 3 Kiraan EOP PTP TX Luaran : 0
# Saluran 3 Kiraan SOP MISC TX Luaran : 0
# Kiraan EOP MISC TX Luaran Saluran 3 : 0
# Saluran 3 Kiraan SOP RX Luaran : 0
# Saluran 3 Kiraan EOP RX Luaran : 0
# _________________________________________________________
# INFO: Mula menghantar paket
# _________________________________________________________
#
#
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 0 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 0 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 0 ke
lengkap
# INFO: Saluran 0 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 0 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 1 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 1 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 1 ke
lengkap
# INFO: Saluran 1 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 1 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 2 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 2 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 2 ke
lengkap
# INFO: Saluran 2 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 2 eCPRI External TX Misc pemindahan trafik selesai
# INFO: Menunggu pemindahan trafik eCPRI TX Saluran 3 selesai
# INFO: Pemindahan trafik eCPRI TX Saluran 3 selesai
# INFO: Menunggu pemindahan trafik PTP TX eCPRI External 3 ke
lengkap
# INFO: Saluran 3 eCPRI External TX PTP pemindahan trafik selesai
# MAKLUMAT: Menunggu pemindahan trafik Pelbagai TX eCPRI External TX ke
lengkap
# INFO: Saluran 3 eCPRI External TX Misc pemindahan trafik selesai
# _________________________________________________________
# INFO: Berhenti menghantar paket
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Menyemak statistik paket
# _________________________________________________________
#
#
# Saluran 0 eCPRI SOP dihantar: 50
# Saluran 0 eCPRI EOP dihantar: 50
# SOP eCPRI Saluran 0 diterima: 50
# Saluran 0 eCPRI EOP diterima: 50
# Ralat eCPRI Saluran 0 dilaporkan: 0
# Saluran 0 SOP PTP Luaran dihantar: 4
# Saluran 0 PTP EOP Luaran dihantar: 4
# Saluran 0 SOP MISC Luaran dihantar: 128
# Saluran 0 MISC Luaran EOP dihantar: 128
# Saluran 0 SOP Luaran diterima: 132
# Saluran 0 EOP Luaran diterima: 132
# Saluran 0 SOP PTP Luaran diterima: 4
# Saluran 0 PTP EOP Luaran diterima: 4
# Saluran 0 SOP MISC Luaran diterima: 128
# Saluran 0 MISC Luaran EOP diterima: 128
# Saluran 0 Ralat Luaran dilaporkan: 0
# Saluran 0 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 1 eCPRI SOP dihantar: 50
# Saluran 1 eCPRI EOP dihantar: 50
# SOP eCPRI Saluran 1 diterima: 50
# Saluran 1 eCPRI EOP diterima: 50
# Ralat eCPRI Saluran 1 dilaporkan: 0
# Saluran 1 SOP PTP Luaran dihantar: 4
# Saluran 1 PTP EOP Luaran dihantar: 4
# Saluran 1 SOP MISC Luaran dihantar: 128
# Saluran 1 MISC Luaran EOP dihantar: 128
# Saluran 1 SOP Luaran diterima: 132
# Saluran 1 EOP Luaran diterima: 132
# Saluran 1 SOP PTP Luaran diterima: 4
# Saluran 1 PTP EOP Luaran diterima: 4
# Saluran 1 SOP MISC Luaran diterima: 128
# Saluran 1 MISC Luaran EOP diterima: 128
# Saluran 1 Ralat Luaran dilaporkan: 0
# Saluran 1 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 2 eCPRI SOP dihantar: 50
# Saluran 2 eCPRI EOP dihantar: 50
# SOP eCPRI Saluran 2 diterima: 50
# Saluran 2 eCPRI EOP diterima: 50
# Ralat eCPRI Saluran 2 dilaporkan: 0
# Saluran 2 SOP PTP Luaran dihantar: 4
# Saluran 2 PTP EOP Luaran dihantar: 4
# Saluran 2 SOP MISC Luaran dihantar: 128
# Saluran 2 MISC Luaran EOP dihantar: 128
# Saluran 2 SOP Luaran diterima: 132
# Saluran 2 EOP Luaran diterima: 132
# Saluran 2 SOP PTP Luaran diterima: 4
# Saluran 2 PTP EOP Luaran diterima: 4
# Saluran 2 SOP MISC Luaran diterima: 128
# Saluran 2 MISC Luaran EOP diterima: 128
# Saluran 2 Ralat Luaran dilaporkan: 0
# Saluran 2 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# Saluran 3 eCPRI SOP dihantar: 50
# Saluran 3 eCPRI EOP dihantar: 50
# SOP eCPRI Saluran 3 diterima: 50
# Saluran 3 eCPRI EOP diterima: 50
# Ralat eCPRI Saluran 3 dilaporkan: 0
# Saluran 3 SOP PTP Luaran dihantar: 4
# Saluran 3 PTP EOP Luaran dihantar: 4
# Saluran 3 SOP MISC Luaran dihantar: 128
# Saluran 3 MISC Luaran EOP dihantar: 128
# Saluran 3 SOP Luaran diterima: 132
# Saluran 3 EOP Luaran diterima: 132
# Saluran 3 SOP PTP Luaran diterima: 4
# Saluran 3 PTP EOP Luaran diterima: 4
# Saluran 3 SOP MISC Luaran diterima: 128
# Saluran 3 MISC Luaran EOP diterima: 128
# Saluran 3 Ralat Luaran dilaporkan: 0
# Saluran 3 Masa Luaranamp Ralat Cap Jari dilaporkan: 0
# _________________________________________________________
# INFO: Ujian LULUS
#
# _________________________________________________________
1.4.1. Mendayakan Konfigurasi Semula Dinamik ke IP Ethernet
Secara lalai, konfigurasi semula dinamik dilumpuhkan dalam reka bentuk IP eCPRI example dan ia hanya terpakai untuk bekas reka bentuk Intel Stratix 10 (E-tile dan H-tile) dan Intel Agilex 7 (E-tile)amples.
- Cari baris berikut dalam test_wrapper.sv daripada <design_example_dir>/simulation/testbench direktori: parameter ETHERNET_DR_EN = 0
- Tukar nilai dari 0 kepada 1: parameter ETHERNET_DR_EN = 1
- Jalankan semula simulasi menggunakan ex yang dijana yang samaampdirektori reka bentuk.
1.5. Menyusun Projek Kompilasi Sahaja
Untuk menyusun ex kompilasi sahajaampprojek, ikuti langkah berikut:
- Pastikan reka bentuk kompilasi cthampgenerasi le sudah lengkap.
- Dalam perisian Intel Quartus Prime Pro Edition, buka projek Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- Pada menu Pemprosesan, klik Mulakan Penyusunan.
- Selepas penyusunan berjaya, laporan untuk pemasaan dan penggunaan sumber tersedia dalam sesi Intel Quartus Prime Pro Edition anda. Pergi ke Pemprosesan ➤ Laporan Penyusunan ke view laporan terperinci mengenai penyusunan.
Maklumat Berkaitan
Aliran Reka Bentuk Berasaskan Blok
1.6. Menyusun dan Mengkonfigurasi Reka Bentuk Cthample dalam Perkakasan
Untuk menyusun reka bentuk perkakasan cthample dan konfigurasikannya pada peranti Intel anda, ikut langkah berikut:
- Pastikan reka bentuk perkakasan cthampgenerasi le sudah lengkap.
- Dalam perisian Intel Quartus Prime Pro Edition, buka projek Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
- Pada menu Pemprosesan, klik Mulakan Penyusunan.
- Selepas penyusunan berjaya, .sof file terdapat dalamample_dir>/ sintesis/kuartus/output_files direktori. Ikuti langkah ini untuk memprogram reka bentuk perkakasan cthamppada peranti Intel FPGA:
a. Sambungkan Kit Pembangunan ke komputer hos.
b. Lancarkan aplikasi Kawalan Jam, yang merupakan sebahagian daripada kit pembangunan, dan tetapkan frekuensi baharu untuk bekas reka bentukample. Di bawah ialah tetapan kekerapan dalam aplikasi Kawalan Jam:
• Jika anda menyasarkan reka bentuk anda pada Kit Pembangunan Intel Stratix 10 GX SI:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 dan OUT5- 307.2 MHz
• Jika anda menyasarkan reka bentuk anda pada Kit Pembangunan Intel Stratix 10 TX SI:
— U1, CLK4- 322.265625 MHz (Untuk kadar data 25G)
— U6- 156.25 MHz (Untuk kadar data 10G)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• Jika anda menyasarkan reka bentuk anda pada Kit Pembangunan Intel Agilex 7 F-Series Transceiver-SoC:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• Jika anda menyasarkan reka bentuk anda pada Kit Pembangunan Intel Arria 10 GX SI:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
c. Pada menu Alat, klik Pengaturcara.
d. Dalam Pengaturcara, klik Persediaan Perkakasan.
e. Pilih peranti pengaturcaraan.
f. Pilih dan tambahkan Kit Pembangunan yang boleh disambungkan kepada sesi Intel Quartus Prime Pro Edition anda.
g. Pastikan Mod ditetapkan kepada JTAG.
h. Pilih peranti dan klik Tambah Peranti. Pengaturcara memaparkan gambarajah blok sambungan antara peranti pada papan anda.
i. Muatkan .sof file ke peranti Intel FPGA anda masing-masing.
j. Muatkan format Boleh Laksana dan Pautan (.elf) file kepada Intel Stratix 10 anda atau
Peranti Intel Agilex 7 jika anda bercadang untuk melakukan konfigurasi semula dinamik (DR) untuk menukar kadar data antara 25G dan 10G. Ikut arahan daripada Pengaturcaraan Menjana dan Memuat Turun Format Boleh Laksana dan Memautkan (.elf). File pada halaman 38 untuk menjana .elf file.
k. Dalam baris dengan .sof anda, tandakan kotak Program/Configure untuk .sof file.
l. Klik Mula.
Maklumat Berkaitan
- Reka Bentuk Berasaskan Blok
- Panduan Pengguna Pengaturcara Intel Quartus Prime
- Menganalisis dan Menyahpepijat Reka Bentuk dengan Konsol Sistem
- Panduan Pengguna Kit Pembangunan Intel Agilex 7 F-Series Transceiver-SoC
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 GX
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Stratix 10 TX
- Panduan Pengguna Kit Pembangunan Integriti Isyarat Transceiver Intel Arria 10 GX
1.7. Menguji eCPRI Intel FPGA IP Design Example
Selepas anda menyusun reka bentuk teras IP Intel FPGA eCPRI exampdan konfigurasikannya pada peranti Intel FPGA anda, anda boleh menggunakan Konsol Sistem untuk memprogramkan teras IP dan daftar teras IP Asli PHY yang dibenamkan.
Untuk menghidupkan Konsol Sistem dan menguji reka bentuk perkakasan cthample, ikuti langkah berikut:
- Selepas reka bentuk perkakasan example dikonfigurasikan pada peranti Intel, dalam perisian Intel Quartus Prime Pro Edition, pada menu Tools, klik System Debugging Tools ➤ System Console.
- Dalam anak tetingkap Tcl Console, tukar direktori kepada <design_example_dir>/ synthesis/quartus/hardware_test dan taip arahan berikut untuk membuka sambungan ke JTAG kuasai dan mulakan ujian:
• sumber ecpri_agilex.tcl untuk reka bentuk Intel Agilex 7
• sumber ecpri_s10.tcl untuk reka bentuk Intel Stratix 10
• sumber ecpri_a10.tcl untuk reka bentuk Intel Arria 10 - Untuk variasi peranti Intel Stratix 10 atau Intel Agilex 7 E-tile anda, anda mesti melaksanakan sama ada arahan gelung balik dalaman atau luaran sekali selepas anda memprogram .sof file:
a. Ubah suai pembolehubah TEST_MODE dalam aliran.c file untuk memilih mod gelung balik:MOD PERCUBAAN Tindakan 0 Gelung balik bersiri membolehkan untuk simulasi sahaja 1 Gelung balik bersiri membolehkan untuk perkakasan sahaja 2 Gelung balik bersiri dan penentukuran 3 Penentukuran sahaja Anda mesti menyusun semula dan menjana semula perisian NIOS II apabila anda menukar aliran.c file.
b. Menjana semula .elf file dan atur cara kepada lembaga sekali lagi dan atur semula .sof file. - Uji operasi reka bentuk melalui arahan yang disokong dalam skrip konsol sistem. Skrip konsol sistem menyediakan arahan berguna untuk membaca statistik dan ciri yang membolehkan dalam reka bentuk.
Jadual 4. Perintah Skrip Konsol Sistem
Perintah | Penerangan |
gelung_hidup | Mendayakan gelung balik bersiri dalaman TX ke RX. Gunakan untuk peranti Intel Stratix 10 H-tile dan Intel Arria 10 sahaja. |
loop_off | Melumpuhkan gelung balik bersiri dalaman TX ke RX. Gunakan untuk peranti Intel Stratix 10 H-tile dan Intel Arria 10 sahaja. |
pautan _ init _ int _1pbk | Mendayakan gelung balik bersiri dalaman TX ke RX dalam transceiver dan melaksanakan aliran penentukuran transceiver. Terpakai untuk reka bentuk E-tile Intel Stratix 10 dan Intel Agilex 7 E-tile sahaja. |
pautan _ init _ samb _1pbk | Mendayakan gelung balik luaran TX ke RX dan melaksanakan aliran penentukuran transceiver. Terpakai untuk reka bentuk E-tile Intel Stratix 10 dan Intel Agilex 7 E-tile sahaja. |
gen trafik lumpuhkan | Melumpuhkan penjana trafik dan penyemak. |
statistik chkmac | Memaparkan statistik untuk Ethernet MAC. |
baca_ ujian_ statistik | Paparkan statistik ralat untuk penjana trafik dan penyemak. |
ext _ mod berterusan _ en | Menetapkan semula keseluruhan sistem reka bentuk, dan membolehkan penjana trafik menjana paket trafik berterusan. |
dr _ 25g _ hingga _ lOg _etile | Menukar kadar data MAC Ethernet daripada 25G kepada 10G. Gunakan untuk peranti Intel Stratix 10 E-tile dan Intel Agilex 7 E-tile sahaja. |
dr_25g_to_10g_htile | Menukar kadar data MAC Ethernet daripada 25G kepada 10G. Gunakan untuk peranti H-jubin sahaja |
dr_10g_to_25g_etile | Menukar kadar data MAC Ethernet daripada 10G kepada 25G. Gunakan untuk peranti Intel Stratix 10 E-tile dan Intel Agilex 7 E-tile sahaja. |
dr _ 25g _ hingga _ lOg _htile | Menukar kadar data MAC Ethernet daripada 10G kepada 25G. Gunakan untuk peranti H-jubin sahaja. |
S berikutample output menggambarkan ujian yang berjaya dijalankan:
Cetakan Konsol Sistem (Bilangan Saluran = 1)
Saluran 0 EXT PTP TX SOP Kiraan: 256
Saluran 0 EXT PTP TX EOP Kiraan: 256
Saluran 0 EXT MISC TX Kiraan SOP: 36328972
Saluran 0 EXT MISC TX EOP Kiraan: 36369511
Saluran 0 EXT RX SOP Kiraan: 36410364
Saluran 0 EXT RX EOP Count: 36449971
Ralat Pemeriksa Saluran 0 EXT: 0
Kiraan Ralat Pemeriksa Saluran 0 EXT: 0
Ralat Cap Jari PTP Saluran 0 EXT: 0
Kiraan Ralat Cap Jari PTP Saluran 0 EXT: 0
Kiraan SOP Saluran 0 TX: 1337760
Kiraan EOP TX Saluran 0: 1339229
Kiraan SOP RX Saluran 0: 1340728
Kiraan EOP RX Saluran 0: 1342555
Ralat Pemeriksa Saluran 0: 0
Kiraan Ralat Pemeriksa Saluran 0: 0
=================================================== ============================
=============
STATISTIK MAC ETHERNET UNTUK Saluran 0 (Rx)
=================================================== ============================
=============
Bingkai Berserpihan : 0
Bingkai Jabbered : 0
Saiz Kanan dengan Bingkai FCS Err : 0
Bingkai Ralat data berbilang hantaran : 0
Siar data Err Frames : 0
Data Unicast Err Frames : 0
Bingkai 64 Bait : 3641342
Bingkai 65 – 127 Bait : 0
Bingkai 128 – 255 Bait : 37404809
Bingkai 256 – 511 Bait : 29128650
Bingkai 512 – 1023 Bait : 0
Bingkai 1024 – 1518 Bait : 0
1519 – Bingkai Bait MAX : 0
> Bingkai Bait MAX : 0
Data berbilang hantaran Bingkai OK : 70174801
Data siaran OK Bingkai : 0
Data Unicast OK Bingkai : 0
Bingkai Kawalan Berbilang Siar : 0
Bingkai Kawalan Siaran : 0
Bingkai Kawalan Unicast : 0
Jeda Bingkai Kawalan : 0
Oktet Muatan OK : 11505935812
Oktet Bingkai OK : 12918701444
Panjang Bingkai Maksimum Rx : 1518
Sebarang Saiz dengan Bingkai FCS Err : 0
Kawalan berbilang siaran Bingkai Ralat : 0
Kawalan penyiaran Bingkai Err : 0
Kawalan Unicast Bingkai Ralat : 0
Kawalan Jeda Bingkai Ralat : 0
Bingkai Rx Bermula : 70174801
Berikut ialah sampkeluaran untuk ujian DR 25G hingga 10G:
Cetakan Konsol Sistem (25G hingga 10G DR E-jubin)
Mulakan Konfigurasi Semula Dinamik untuk Ethernet 25G -> 10G
DR Berjaya 25G -> 10G
Akses Daftar RX PHY: Menyemak Frekuensi Jam (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
Undian Status RX PHY
Status Kunci Kekerapan Rx 0x0000000f
Jam Mac dalam Keadaan OK? 0x00000001
Ralat Bingkai Rx ? 0x00000000
Rx PHY Jajaran Sepenuhnya? 0x00000001
Undian RX PHY Saluran 0
RX PHY Saluran 0 sedang beroperasi!
Cetakan Konsol Sistem (25G hingga 10G DR H-jubin)
Mulakan Konfigurasi Semula Dinamik untuk Ethernet 25G -> 10G
DR Berjaya 25G -> 10G
Akses Daftar RX PHY: Menyemak Frekuensi Jam (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
Undian Status RX PHY
Status Kunci Kekerapan Rx 0x00000001
Jam Mac dalam Keadaan OK? 0x00000007
Ralat Bingkai Rx ? 0x00000000
Rx PHY Jajaran Sepenuhnya? 0x00000001
Undian RX PHY Saluran 0
RX PHY Saluran 0 sedang beroperasi!
Cetakan Konsol Sistem (10G hingga 25G DR E-jubin)
Mulakan Konfigurasi Semula Dinamik untuk Ethernet 10G -> 25G
DR Berjaya 10G -> 25G
Akses Daftar RX PHY: Menyemak Frekuensi Jam (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
Undian Status RX PHY
Status Kunci Kekerapan Rx 0x0000000f
Jam Mac dalam Keadaan OK? 0x00000001
Ralat Bingkai Rx ? 0x00000000
Rx PHY Jajaran Sepenuhnya? 0x00000001
Undian RX PHY Saluran 0
RX PHY Saluran 0 sedang beroperasi!
Cetakan Konsol Sistem (10G hingga 25G DR H-jubin)
Mulakan Konfigurasi Semula Dinamik untuk Ethernet 10G -> 25G
DR Berjaya 10G -> 25G
Akses Daftar RX PHY: Menyemak Frekuensi Jam (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
Undian Status RX PHY
Status Kunci Kekerapan Rx 0x00000001
Jam Mac dalam Keadaan OK? 0x00000007
Ralat Bingkai Rx ? 0x00000000
Rx PHY Jajaran Sepenuhnya? 0x00000001
Undian RX PHY Saluran 0
RX PHY Saluran 0 sedang beroperasi!
Reka Bentuk Cthample Huraian
Reka bentuk example menunjukkan kefungsian asas teras IP eCPRI. Anda boleh menjana reka bentuk daripada Examptab Reka bentuk dalam editor parameter IP eCPRI.
2.1. Ciri-ciri
- Mod gelung balik bersiri TX dan RX dalaman
- Menjana paket saiz tetap secara automatik
- Keupayaan semakan paket asas
- Keupayaan untuk menggunakan Konsol Sistem untuk menguji reka bentuk dan menetapkan semula reka bentuk untuk tujuan ujian semula
2.2. Reka Bentuk Perkakasan Cthample
Rajah 5. Diagram Blok untuk Reka Bentuk jubin F Intel Agilex 7
Perbadanan Intel. Hak cipta terpelihara. Intel, logo Intel dan tanda Intel lain ialah tanda dagangan Intel Corporation atau anak syarikatnya. Intel menjamin prestasi produk FPGA dan semikonduktornya mengikut spesifikasi semasa menurut waranti standard Intel, tetapi berhak untuk membuat perubahan pada mana-mana produk dan perkhidmatan pada bila-bila masa tanpa notis. Intel tidak memikul tanggungjawab atau liabiliti yang timbul daripada aplikasi atau penggunaan mana-mana maklumat, produk atau perkhidmatan yang diterangkan di sini kecuali seperti yang dipersetujui secara bertulis oleh Intel. Pelanggan Intel dinasihatkan untuk mendapatkan versi terkini spesifikasi peranti sebelum bergantung pada sebarang maklumat yang diterbitkan dan sebelum membuat pesanan untuk produk atau perkhidmatan. *Nama dan jenama lain boleh dituntut sebagai hak milik orang lain.
Rajah 6. Diagram Blok untuk Reka Bentuk E-jubin Intel Agilex 7Rajah 7. Rajah Blok untuk Reka Bentuk Intel Stratix 10
Rajah 8. Rajah Blok untuk Reka Bentuk Intel Arria 10Reka bentuk perkakasan teras eCPRI Intel FPGA IP example termasuk komponen berikut:
eCPRI Intel FPGA IP
Menerima data daripada penjana trafik yang dibuat dalam pembungkus ujian dan mengutamakan data untuk penghantaran ke IP Ethernet.
IP Ethernet
- F-jubin Ethernet Intel FPGA Hard IP (Reka bentuk jubin Intel Agilex 7 F)
- IP Keras E-jubin untuk Ethernet (reka bentuk E-jubin Intel Stratix 10 atau Intel Agilex 7)
- 25G Ethernet Intel Stratix 10 IP (reka bentuk jubin Intel Stratix 10 H)
- IP MAC 10G Ethernet Latensi Rendah dan IP 1G/10GbE dan 10GBASE-KR PHY (reka bentuk Intel Arria 10)
Protokol Masa Ketepatan (PTP) IO PLL
Untuk reka bentuk jubin Intel Stratix 10 H—Dipercepatkan untuk menjana jam rujukan input pengukuran kependaman untuk IP Ethernet dan sampjam ling untuk subsistem Masa Hari (TOD). Untuk 25G Ethernet Intel Stratix 10 FPGA IP dengan ciri IEEE 1588v2, Intel mengesyorkan anda untuk menetapkan kekerapan jam ini kepada 156.25 MHz. Rujuk Panduan Pengguna IP 25G Ethernet Intel Stratix 10 FPGA dan Panduan Pengguna Intel Stratix 10 H-tile Transceiver PHY untuk maklumat lanjut. PTP IOPLL juga menjana jam rujukan untuk eCPRI IO PLL dalam cara berlatarkan.
Untuk reka bentuk Intel Arria 10—Instantiated untuk menjana input jam 312.5 MHz dan 156.25 MHz untuk IP MAC 10G Ethernet Latensi Rendah dan 1G/10GbE, 10GBASE-KR PHY IP dan IP eCPRI .
eCPRI IO PLL
Menjana output jam teras sebanyak 390.625 MHz untuk laluan TX dan RX IP eCPRI dan komponen trafik.
Nota: Blok ini hanya terdapat dalam reka bentuk example dijana untuk peranti Intel Stratix 10 dan Intel Agilex 7.
Nota: Versi semasa eCPRI Intel FPGA IP hanya menyokong jenis IWF 0. Untuk peranti jubin Intel Agilex 7 F, reka bentuk bekasampyang didayakan dengan ciri IWF tidak disokong.
Apabila anda menjana reka bentuk exampDengan parameter Sokongan Interworking Function (IWF) dimatikan, trafik paket mengalir terus dari modul pembalut ujian ke antara muka sumber/sinki Avalon-ST dan antara muka sumber/sinki luaran IP eCPRI.
Apabila anda menjana reka bentuk exampDengan parameter Sokongan Interworking Function (IWF) dihidupkan, trafik paket mengalir ke antara muka sinki IWF Avalon-ST daripada modul pembalut ujian dahulu, dan keluar daripada antara muka sumber IWF Avalon-ST ke sumber/sinki Avalon-ST eCPRI antara muka.
CPRI MAC
Menyediakan bahagian CPRI lapisan 1 dan protokol lapisan 2 penuh untuk pemindahan satah pengguna, C&M dan maklumat penyegerakan antara REC dan RE serta antara dua RE,
CPRI PHY
Menyediakan bahagian baki protokol lapisan 1 CPRI untuk pengekodan talian, pembetulan/pengesan ralat bit, dan lain-lain.
Nota: CPRI MAC dan CPRI PHY IP dibuat dalam contoh reka bentuk iniampia dikonfigurasikan untuk berjalan pada kadar talian CPRI tunggal 9.8 Gbps sahaja. Reka bentuk example tidak menyokong rundingan automatik kadar talian dalam keluaran semasa.
Pembungkus Ujian
Terdiri daripada penjana trafik dan penyemak yang menjana set paket data yang berbeza kepada antara muka Avalon Streaming (Avalon-ST) IP eCPRI seperti di bawah:
- paket eCPRI ke antara muka sumber/sink Avalon-ST (ciri IWF dilumpuhkan):
— Hanya menyokong jenis mesej 2.
— Penjanaan mod back-to-back dengan penjanaan mod corak tambahan dan saiz muatan sebanyak 72 bait untuk setiap paket.
— Boleh dikonfigurasikan melalui CSR untuk dijalankan sama ada dalam mod tidak berterusan atau berterusan.
— Status statistik paket TX/RX tersedia untuk diakses melalui CSR. - paket eCPRI ke antara muka sumber/sink Avalon-ST (ciri IWF didayakan):
— Hanya menyokong jenis mesej 0 dalam keluaran semasa.
— Penjanaan mod corak tambahan dengan penjanaan jurang antara paket dan saiz muatan sebanyak 240 bait untuk setiap paket.
— Boleh dikonfigurasikan melalui CSR untuk dijalankan sama ada dalam mod tidak berterusan atau berterusan.
— Status statistik paket TX/RX tersedia untuk diakses melalui CSR. - Paket Protokol Masa Ketepatan (1588 PTP) dan paket pelbagai bukan PTP ke antara muka sumber/sinki luaran:
— Penjanaan pengepala Ethernet statik dengan parameter yang dipratakrifkan: Ethertype0x88F7, Jenis mesej- Opcode 0 (Sync) dan PTP versi-0.
— Penjanaan mod corak yang dipratakrifkan dengan jurang antara paket 2 kitaran dan saiz muatan sebanyak 57 bait untuk setiap paket.
— 128 paket dijana dalam tempoh setiap satu saat.
— Boleh dikonfigurasikan melalui CSR untuk dijalankan sama ada dalam mod tidak berterusan atau berterusan.
— Status statistik paket TX/RX tersedia untuk diakses melalui CSR. - Paket pelbagai bukan PTP luaran:
— Penjanaan Pengepala Ethernet statik dengan parameter pra-takrif, Ethertype- 0x8100 (bukan PTP).
— Penjanaan mod corak PRBS dengan jurang antara paket 2 kitaran dan saiz muatan sebanyak 128 bait untuk setiap paket.
— Boleh dikonfigurasikan melalui CSR untuk dijalankan sama ada dalam mod tidak berterusan atau berterusan.
— Status statistik paket TX/RX tersedia untuk diakses melalui CSR.
Subsistem Masa Hari (TOD).
Mengandungi dua modul IEEE 1588 TOD untuk kedua-dua TX dan RX, dan satu modul IEEE 1588 TOD Synchronizer yang dijana oleh perisian Intel Quartus Prime.
Subsistem Nios® II
Terdiri daripada jambatan Avalon-MM yang membenarkan timbang tara data Avalon-MM antara pemproses Nios II, pembungkus ujian dan blok penyahkod alamat Avalon® -MM.
Nios II bertanggungjawab untuk melakukan penukaran kadar data berdasarkan output daripada nilai daftar tukar_kadar pembalut ujian. Blok ini memprogramkan daftar yang diperlukan sebaik sahaja ia menerima arahan daripada pembungkus ujian.
Nota: Blok ini tidak terdapat dalam reka bentuk example dijana untuk peranti Intel Arria 10 dan Intel Agilex 7 F-tile.
Konsol Sistem
Menyediakan antara muka mesra pengguna untuk anda melakukan penyahpepijatan peringkat pertama dan memantau status IP, dan penjana trafik dan penyemak.
Kawalan Demo
Modul ini terdiri daripada modul penyegerak set semula, dan modul Sumber dan Probe Dalam Sistem (ISSP) untuk proses penyahpepijatan dan permulaan sistem reka bentuk.
Maklumat Berkaitan
- Panduan Pengguna IP 25G Ethernet Intel Stratix 10 FPGA
- Panduan Pengguna IP Keras E-jubin
- Panduan Pengguna IP FPGA Intel eCPRI
- 25G Ethernet Intel Stratix 10 FPGA IP Reka Bentuk Example Panduan Pengguna
- IP Keras E-jubin untuk Reka Bentuk Intel Stratix 10 ExampPanduan Pengguna les
- Panduan Pengguna Intel Stratix 10 L- dan H-Tile Transceiver PHY
- Panduan Pengguna E-Tile Transceiver PHY
- Panduan Pengguna Intel Stratix 10 10GBASE-KR PHY IP
- E-jubin IP Keras Intel Agilex Design Example Panduan Pengguna
2.3. Reka Bentuk Simulasi Cthample
Reka bentuk eCPRI example menjana meja ujian simulasi dan simulasi files yang menjadikan teras IP FPGA Intel eCPRI apabila anda memilih pilihan Simulasi atau Sintesis & Simulasi.
Rajah 9. Rajah Blok Simulasi IP Intel FPGA eCPRI
Nota: Blok Subsistem Nios II tidak terdapat dalam reka bentuk example dijana untuk peranti Intel Arria 10 dan Intel Agilex 7 F-tile.
Dalam reka bentuk ini exampOleh itu, meja ujian simulasi menyediakan fungsi asas seperti permulaan dan tunggu untuk mengunci, menghantar dan menerima paket.
Larian ujian yang berjaya memaparkan output yang mengesahkan tingkah laku berikut:
- Logik pelanggan menetapkan semula teras IP.
- Logik pelanggan menunggu penjajaran laluan data RX.
- Logik pelanggan menghantar paket pada antara muka Avalon-ST.
- Terima dan semak kandungan dan ketepatan paket.
- Paparkan mesej "Ujian LULUS".
2.4. Isyarat Antara Muka
Jadual 5. Reka Bentuk Cthample Isyarat Antara Muka
isyarat | Arah | Penerangan |
clk_ref | Input | Jam rujukan untuk Ethernet MAC. • Untuk reka bentuk E-jubin Intel Stratix 10, Intel Agilex 7 E-jubin dan F-jubin, input jam 156.25 MHz untuk teras IP Keras Ethernet E-jubin atau teras IP Keras Ethernet F-jubin. Sambung ke i_clk_ref[0] dalam Ethernet Hard IP. • Untuk reka bentuk jubin Intel Stratix 10 H, input jam 322.2625 MHz untuk Transceiver ATX PLL dan IP Ethernet 25G. Sambung ke pll_refclk0[0] dalam Transceiver ATX PLL dan clk_ref[0] dalam IP Ethernet 25G. • Untuk reka bentuk Intel Arria 10, input jam 322.265625 MHz untuk Transceiver ATX PLL dan 1G/ 10GbE dan 10GBase-KR PHY IP. Sambung ke pll_refclk0[0] dalam Transceiver ATX PLL dan rx_cdr_ref_clk_10g[0] dalam 1G/ 10GbE dan 10G BASE-KR PHY IP. |
tod_sync_sampling_clk | Input | Untuk reka bentuk Intel Arria 10, input jam 250 MHz untuk subsistem TOD. |
clk100 | Input | Jam pengurusan. Jam ini digunakan untuk menjana latency_clk untuk PTP. Memandu pada 100 MHz. |
mgmt_reset_n | Input | Tetapkan semula isyarat untuk sistem Nios II. |
tx_serial | Keluaran | Data bersiri TX. Menyokong sehingga 4 saluran. |
rx_serial | Input | Data bersiri RX. Menyokong sehingga 4 saluran. |
iwf_cpri_ehip_ref_clk | Input | E-jubin CPRI PHY input jam rujukan. Jam ini hanya terdapat dalam Intel Stratix 10 E-tile dan Intel Reka bentuk E-jubin Agilex 7. Pandu pada 153.6 MHz untuk kadar talian CPRI 9.8 Gbps. |
iwf_cpri_pll_refclk0 | Keluaran | Jam rujukan CPRI TX PLL. • Untuk reka bentuk jubin Intel Stratix 10 H: Pandu pada 307.2 MHz untuk kadar data CPRI 9.8 Gbps. • Untuk reka bentuk E-tile Intel Stratix 10 dan Intel Agilex 7 E-tile: Pandu pada 156.25 MHz untuk kadar data CPRI 9.8 Gbps. |
iwf_cpri_xcvr_cdr_refclk | Keluaran | Jam rujukan CDR penerima CPRI. Jam ini hanya terdapat dalam reka bentuk jubin Intel Stratix 10 H. Pandu pada 307.2 MHz untuk kadar talian CPRI 9.8 Gbps. |
iwf_cpri_xcvr_txdataout | Keluaran | CPRI menghantar data bersiri. Menyokong sehingga 4 saluran. |
iwf_cpri_xcvr_rxdatain | Keluaran | Data siri penerima CPRI. Menyokong sehingga 4 saluran. |
cpri_gmii_clk | Input | Jam input CPRI GMII 125 MHz. |
Maklumat Berkaitan
Isyarat Antara Muka PHY
Menyenaraikan isyarat antara muka PHY 25G Ethernet Intel FPGA IP.
2.5. Reka Bentuk Cthample Daftar Peta
Di bawah ialah pemetaan daftar untuk reka bentuk teras IP eCPRI example:
Jadual 6. eCPRI Intel FPGA IP Design Example Daftar Pemetaan
Alamat | Daftar |
0x20100000 – 0x201FFFFF(2) | Daftar Konfigurasi Semula IOPLL. |
0x20200000 – 0x203FFFFF | Daftar Ethernet MAC Avalon-MM |
0x20400000 – 0x205FFFFF | Daftar PHY Avalon-MM Asli Ethernet MAC |
0x20600000 – 0x207FFFFF(2) | Daftar PHY RS-FEC Avalon-MM asli. |
0x40000000 – 0x5FFFFFF | Daftar eCPRI IP Avalon-MM |
0x80000000 – 0x9FFFFFF | Penjana Ujian Reka Bentuk Ethernet / Daftar Avalon-MM Pengesah |
Jadual 7. Pemetaan Daftar Nios II
Daftar dalam jadual di bawah hanya tersedia dalam reka bentuk example dijana untuk peranti Intel Stratix 10 atau Intel Agilex 7 E-tile.
Alamat | Daftar |
0x00100000 – 0x001FFFFF | Daftar Konfigurasi Semula IOPLL |
0x00200000 – 0x003FFFFF | Daftar Ethernet MAC Avalon-MM |
0x00400000 – 0x005FFFFF | Daftar PHY Avalon-MM Asli Ethernet MAC |
0x00600000 – 0x007FFFFF | Daftar PHY RS-FEC Avalon-MM asli |
Nota: Anda boleh mengakses daftar Ethernet MAC dan Ethernet MAC Native PHY AVMM menggunakan word offset dan bukannya byte offset.
Untuk maklumat terperinci tentang Ethernet MAC, Ethernet MAC Native PHY, dan peta daftar teras IP eCPRI, rujuk kepada panduan pengguna masing-masing.
(2)Hanya tersedia dalam reka bentuk example dijana untuk peranti Intel Stratix 10 dan Intel Agilex 7 E-jubin.
Jadual 8. Reka Bentuk Perkakasan IP eCPRI Intel FPGA Cthample Daftar Peta
Word Offset | Jenis Daftar | Nilai Lalai | Jenis Akses |
0x0 | Mula Hantar Data: • Bit 1: PTP, jenis bukan PTP • Bit 0: jenis eCPRI |
0x0 | RW |
0x1 | Dayakan Paket Berterusan | 0x0 | RW |
0x2 | Kosongkan Ralat | 0x0 | RW |
0x3 (3) | Tukar Kadar: • Bit [7]- Menunjukkan jubin: — 1’b0: H-jubin — 1’b1: E-jubin • Bit [6:4]- Menunjukkan penukaran kadar data Ethernet: — 3’b000: 25G hingga 10G — 3’b001: 10G hingga 25G • Bit [0]- Kadar suis dayakan. Anda perlu menetapkan bit 0 ini dan tinjauan pendapat sehingga bit 0 jelas untuk penukaran kadar. Nota: Daftar ini tidak tersedia untuk reka bentuk Intel Agilex 7 F-tile dan Intel Arria 10. |
• E-jubin: 0x80 • jubin H: 0x0 |
RW |
0x4 (3) | Tukar Kadar Selesai: • Bit [1] menunjukkan penukaran kadar selesai. |
0x0 | RO |
0x5 (4) | Status Konfigurasi Sistem: • Bit [31]: Sistem sedia • Bit [30]: IWF_EN • Bit [29]: STARTUP_SEQ_EN • Bit [28:4]: Terpelihara • Bit [3]: EXT_PACKET_EN • Bit [2:0]: Terpelihara |
0x0 | RO |
0x6 (4) | Rundingan CPRI Selesai: • Bit [3:0]: Kadar bit selesai • Bit [19:16]: Protokol selesai |
0x0 | RW |
0x7 (4) | Rundingan CPRI Selesai: • Bit [3:0]: C&M pantas selesai • Bit [19:16]: VSS pantas selesai |
0x0 | RW |
0x8 – 0x1F | Terpelihara. | ||
0x20 | Gangguan Ralat eCPRI: • Bit [0] menunjukkan gangguan. |
0x0 | RO |
0x21 | Ralat Paket Luaran | 0x0 | RO |
0x22 | Paket PTP Luaran Kiraan Permulaan Paket (SOP) TX | 0x0 | RO |
0x23 | Paket PTP Luar TX Kiraan Akhir Paket (EOP). | 0x0 | RO |
0x24 | Pakej Pelbagai Luaran Kiraan SOP TX | 0x0 | RO |
0x25 | Paket Pelbagai Luaran Kiraan TX EOP | 0x0 | RO |
0x26 | Kiraan SOP Paket RX Luaran | 0x0 | RO |
0x27 | Kiraan EOP Paket RX Luaran | 0x0 | RO |
0x28 | Kiraan Ralat Paket Luaran | 0x0 | RO |
0x29 – 0x2C | Terpelihara. | ||
0x2D | Waktu PTP Luaranamp Kiraan Ralat Cap Jari | 0x0 | RO |
0x2E | Waktu PTP Luaranamp Ralat Cap Jari | 0x0 | RO |
0x2F | Status Ralat Rx Luaran | 0x0 | RO |
0x30 – 0x47 | Terpelihara. | ||
0x48 | Ralat Paket eCPRI | RO | |
0x49 | Kiraan SOP eCPRI TX | RO | |
0x4A | Kiraan EOP eCPRI TX | RO | |
0x4B | Kiraan SOP eCPRI RX | RO | |
0x4C | Kiraan EOP eCPRI RX | RO | |
0x4D | Kiraan Ralat Paket eCPRI | RO |
Maklumat Berkaitan
- Perihalan Daftar Kawalan, Status dan Statistik
Daftar maklumat untuk 25G Ethernet Stratix 10 FPGA IP - Konfigurasi semula dan Daftar Status
Penerangan Daftar maklumat untuk IP Keras E-tile untuk Ethernet - Mendaftar
Daftar maklumat untuk IP FPGA Intel eCPRI
eCPRI Intel FPGA IP Design Example Arkib Panduan Pengguna
Untuk versi terkini dan sebelumnya bagi panduan pengguna ini, rujuk kepada eCPRI Intel FPGA IP Design Exampversi HTML Panduan Pengguna. Pilih versi dan klik Muat turun. Jika IP atau versi perisian tidak disenaraikan, panduan pengguna untuk IP atau versi perisian sebelumnya terpakai.
Sejarah Semakan Dokumen untuk eCPRI Intel FPGA IP Design Example Panduan Pengguna
Versi Dokumen | Intel Quartus Versi Perdana |
Versi IP | Perubahan |
2023.05.19 | 23.1 | 2.0.3 | • Mengemas kini Simulasi Reka Bentuk Exampbahagian Testbench dalam bab Panduan Mula Pantas. • Mengemas kini nama keluarga produk kepada "Intel Agilex 7". |
2022.11.15 | 22.3 | 2.0.1 | Arahan dikemas kini untuk simulator VCS dalam bahagian: Mensimulasikan Reka Bentuk Example Testbench. |
2022.07.01 | 22.1 | 1.4.1 | • Menambah reka bentuk perkakasan cthampsokongan untuk variasi peranti Intel Agilex 7 F-tile. • Menambah sokongan untuk kit pembangunan berikut: — Kit Pembangunan FPGA Intel Agilex 7 I-Series — Kit Pembangunan Intel Agilex 7 I-Series Transceiver-SoC • Menambah sokongan untuk simulator QuestaSim. • Mengalih keluar sokongan untuk simulator ModelSim* SE. |
2021.10.01 | 21.2 | 1.3.1 | • Menambah sokongan untuk peranti Intel Agilex 7 F-tile. • Menambah sokongan untuk reka bentuk berbilang saluran. • Jadual Dikemaskini: eCPRI Intel FPGA IP Hardware Design Example Daftar Peta. • Mengalih keluar sokongan untuk simulator NCSim. |
2021.02.26 | 20.4 | 1.3.0 | • Menambah sokongan untuk peranti E-jubin Intel Agilex 7. |
2021.01.08 | 20.3 | 1.2.0 | • Menukar tajuk dokumen daripada eCPRI Intel Stratix 10 FPGA IP Design Example Panduan Pengguna untuk eCPRI Intel FPGA IP Design Example Panduan Pengguna. • Menambah sokongan untuk reka bentuk Intel Arria 10. • Reka bentuk IP eCPRI example kini tersedia dengan sokongan ciri interworking function (IWF). • Menambah nota untuk menjelaskan bahawa reka bentuk eCPRI example dengan ciri IWF hanya tersedia untuk CPRI 9.8 Gbps kadar bit talian. • Menambah syarat dalam bahagian Menjana Reka Bentuk semasa menjana reka bentuk cthample dengan Parameter sokongan Fungsi Interworking (IWF) didayakan. • Ditambah sampkeluaran ujian simulasi dengan ciri IWF didayakan dalam bahagian Mensimulasikan Reka Bentuk Example Testbench. • Menambah bahagian baharu Mendayakan Konfigurasi Semula Dinamik pada IP Ethernet. • Ujian perkakasan yang dikemas kini sampkeluaran dalam bahagian Menguji eCPRI Intel FPGA IP Design Example. |
2020.06.15 | 20.1 | 1.1.0 | • Menambah sokongan untuk kadar data 10G. • aliran.c file kini boleh didapati dengan reka bentuk bekasampgenerasi untuk memilih mod gelung balik. • Mengubah suai sampkeluaran untuk ujian simulasi dijalankan dalam bahagian Mensimulasikan Reka Bentuk Cthample Testbench. • Nilai kekerapan ditambah untuk menjalankan reka bentuk kadar data 10G dalam bahagian Menyusun dan Mengkonfigurasi Reka Bentuk Cthample dalam Perkakasan. • Membuat perubahan berikutan dalam bahagian Menguji eCPRI Intel FPGA IP Design Example: — Menambahkan arahan untuk menukar kadar data antara 10G dan 25G - Ditambah sampkeluaran untuk penukaran kadar data — Menambah maklumat pembolehubah TEST_MODE untuk memilih gelung balik dalam variasi peranti E-jubin. • Ubahsuai eCPRI Intel FPGA IP Reka Bentuk Perkakasan Examples Rajah Blok Aras Tinggi untuk memasukkan baru blok. • Jadual Kemas Kini: Reka Bentuk Cthample Isyarat Antara Muka untuk memasukkan isyarat baharu. • Reka Bentuk Kemas Kini Cthample Daftar bahagian Peta. • Menambah bahagian lampiran baharu:Menjana dan Memuat Turun Pengaturcaraan Format Boleh Laksana dan Pemautan (.elf) File . |
2020.04.13 | 19.4 | 1.1.0 | Keluaran awal. |
A. Menjana dan Memuat Turun Pengaturcaraan Format Boleh Laksana dan Memautkan (.elf). File
Bahagian ini menerangkan cara menjana dan memuat turun .elf file kepada lembaga:
- Tukar direktori kepada <design_example_dir>/sintesis/quatus.
- Dalam perisian Intel Quartus Prime Pro Edition, klik Open Project dan buka <design_example_dir>/synthesis/quartus/epri_ed.qpf. Sekarang pilih Alat ➤ Alat Bina Perisian Nios II untuk Eclipse.
Rajah 10. Alat Binaan Perisian Nios II untuk Eclipse - Gesaan tetingkap Pelancar Ruang Kerja muncul. Dalam Ruang Kerja tentukan laluan sebagai <design_example_dir>/synthesis/quatus untuk menyimpan projek Eclipse anda. Tetingkap Nios II – Eclipse baharu muncul.
Rajah 11. Tetingkap Pelancar Ruang Kerja - Dalam tetingkap Nios II – Eclipse, klik kanan di bawah tab Project Explorer dan pilih Baharu ➤ Pakej Sokongan Papan Nios II. Tetingkap baharu muncul.
Rajah 12. Tab Project Explorer - Dalam tetingkap Pakej Sokongan Papan Nios II:
• Dalam parameter Nama projek, nyatakan nama projek yang anda inginkan.
• Dalam Maklumat SOPC File parameter nama, semak imbas ke lokasi <design_example_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Klik Selesai.
Rajah 13. Tetingkap Pakej Sokongan Papan Nios II - Projek yang baru dibuat muncul di bawah tab Project Explorer dalam tetingkap Nios II Eclipse. Klik kanan di bawah tab Project Explorer, dan pilih Nios II ➤ Nios II Command Shell.
Rajah 14. Project Explorer- Nios II Command Shell - Dalam Shell Perintah Nios II, taipkan tiga arahan berikut: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- The .elf file dijana di lokasi berikut: <design_example_dir>/ sintesis/komponen_ip/perisian//apl.
- Taip perintah berikut dalam Nios II Command Shell untuk memuat turun .elf ke papan:
• Untuk Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Untuk Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf
Versi Dalam Talian
Hantar Maklum Balas
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example Panduan Pengguna
Dokumen / Sumber
![]() |
Reka Bentuk IP Intel eCPRI Intel FPGA [pdf] Panduan Pengguna eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design |