AN 987: Kemas Kini Statik Separa
Tutorial konfigurasi semula
Tutorial Konfigurasi Semula Separa Kemas Kini Statik untuk Papan Pembangunan FPGA Intel® ™ Agilex F-Series
Nota aplikasi ini menunjukkan konfigurasi semula separa kemas kini statik (SUPR) pada Papan Pembangunan FPGA Intel ® F-Series. Konfigurasi semula separa (PR) membolehkan anda mengkonfigurasi semula sebahagian daripada FPGA Intel secara dinamik, sementara baki FPGA terus beroperasi. PR melaksanakan berbilang persona di rantau tertentu dalam reka bentuk anda, tanpa menjejaskan operasi di kawasan di luar wilayah ini. Metodologi ini menyediakan advan berikuttagdalam sistem di mana pelbagai fungsi berkongsi masa sumber FPGA yang sama:
- Membenarkan konfigurasi semula masa jalan
- Meningkatkan kebolehskalaan reka bentuk
- Mengurangkan masa mati sistem
- Menyokong fungsi pemultipleksan masa dinamik dalam reka bentuk
- Mengurangkan kos dan penggunaan kuasa dengan penggunaan ruang papan yang cekap
Apakah itu Konfigurasi Semula Kemas Kini Statik?
Dalam PR tradisional, sebarang perubahan kepada rantau statik memerlukan penyusunan semula setiap persona. Walau bagaimanapun, dengan SUPR anda boleh menentukan wilayah khusus yang membenarkan perubahan, tanpa memerlukan penyusunan semula persona. Teknik ini berguna untuk sebahagian daripada reka bentuk yang anda mungkin ingin ubah untuk mengurangkan risiko, tetapi itu tidak memerlukan konfigurasi semula masa jalan.
1.1. Keperluan Tutorial
Tutorial ini memerlukan perkara berikut:
- Kebiasaan asas dengan aliran dan projek pelaksanaan FPGA Edisi Perdana Intel Quartus® Prime Pro files.
- Pemasangan Intel Quartus Prime Pro Edition versi 22.3, dengan sokongan peranti Intel Agilex.
- Untuk pelaksanaan FPGA, JTAG sambungan dengan papan pembangunan FPGA Intel Agilex F-Series di atas bangku simpanan.
- Muat turun Reka Bentuk Rujukan Files. Maklumat Berkaitan
- Panduan Pengguna Konfigurasi Semula Separa
- Tutorial Konfigurasi Semula Separa
- Latihan Dalam Talian Konfigurasi Semula Separa
Perbadanan Intel. Hak cipta terpelihara. Intel, logo Intel dan tanda Intel lain ialah tanda dagangan Intel Corporation atau anak syarikatnya. Intel menjamin prestasi produk FPGA dan semikonduktornya mengikut spesifikasi semasa menurut waranti standard Intel, tetapi berhak untuk membuat perubahan pada mana-mana produk dan perkhidmatan pada bila-bila masa tanpa notis. Intel tidak memikul tanggungjawab atau liabiliti yang timbul daripada aplikasi atau penggunaan mana-mana maklumat, produk atau perkhidmatan yang diterangkan di sini kecuali seperti yang dipersetujui secara bertulis oleh Intel. Pelanggan Intel dinasihatkan untuk mendapatkan versi terkini spesifikasi peranti sebelum bergantung pada sebarang maklumat yang diterbitkan dan sebelum membuat pesanan untuk produk atau perkhidmatan. *Nama dan jenama lain boleh dituntut sebagai hak milik orang lain.
ISO 9001:2015 Berdaftar
1.2. Reka Bentuk Rujukan Overview
Reka bentuk rujukan ini terdiri daripada satu pembilang 32-bit. Di peringkat papan, reka bentuk menyambungkan jam ke sumber 50MHz, dan kemudian menyambungkan output kepada empat LED pada papan. Memilih output daripada bit pembilang, dalam urutan tertentu, menyebabkan LED berkelip pada frekuensi tertentu. Modul top_counter ialah rantau SUPR.
Rajah 1. Reka Bentuk Rujukan Rata
1.3. Kemas Kini Statik Wilayah Selesaiview
Rajah berikut menunjukkan rajah blok untuk reka bentuk PR yang merangkumi wilayah SUPR. Blok A ialah kawasan statik Teratas. Blok B ialah wilayah SUPR. Blok C ialah partition PR.
Rajah 2. Reka Bentuk PR dengan Wilayah SUPR
- Wilayah Statik Teratas—mengandungi logik reka bentuk yang tidak berubah. Menukar rantau ini memerlukan penyusunan semula semua persona yang berkaitan. Rantau statik termasuk bahagian reka bentuk yang tidak berubah untuk mana-mana persona. Rantau ini boleh termasuk sumber peranti pinggir dan teras. Anda mesti mendaftarkan semua komunikasi antara partition SUPR dan PR di kawasan statik. Keperluan ini membantu memastikan penutupan masa untuk mana-mana persona, berkenaan dengan rantau statik.
- Rantau B SUPR—mengandungi logik teras sahaja yang mungkin berubah untuk pengurangan risiko, tetapi tidak memerlukan konfigurasi semula masa jalan. Wilayah SUPR mempunyai keperluan dan sekatan yang sama seperti partition PR. Pembahagian SUPR hanya boleh mengandungi sumber teras. Oleh itu, partition SUPR mestilah partition anak bagi partition root peringkat atas yang mengandungi persisian reka bentuk dan jam. Menukar rantau SUPR menghasilkan Objek SRAM File (.sof) yang serasi dengan semua Binari Mentah tersusun sedia ada File (.rbf) files untuk partition PR C.
- C PR Partition—mengandungi logik sewenang-wenang yang boleh anda atur semula semasa masa jalan dengan sebarang logik reka bentuk yang sesuai dan mencapai penutupan masa semasa penyusunan.
1.4. Muat turun Reka Bentuk Rujukan Files
Tutorial konfigurasi semula separa tersedia di lokasi berikut: https://github.com/intel/fpga-partial-reconfig
Untuk memuat turun tutorial:
- Klik Klon atau muat turun.
- Klik Muat Turun ZIP. Nyahzip fpga-partial-reconfig-master.zip file.
- Navigasi ke subfolder tutorial/agilex_pcie_devkit_blinking_led_supr untuk mengakses reka bentuk rujukan.
Folder rata terdiri daripada yang berikut files:
Jadual 1. Reka Bentuk Rujukan Files
File Nama | Penerangan |
atas. sv | Peringkat atasan file mengandungi pelaksanaan rata reka bentuk. Modul ini menjadikan sub-partition blinking_led dan modul top_counter. |
t op_counter . sv | Kaunter 32-bit peringkat atas yang mengawal LED [1] secara langsung. Output berdaftar kaunter mengawal LED [0], dan juga menghidupkan LED [2] dan LED [3] melalui modul berkedip_led. |
berkelip-kelip. sdc | Mentakrifkan kekangan masa untuk projek. |
berkelip-kelip. sv | Dalam tutorial ini, anda menukar modul ini kepada partition PR induk. Modul menerima output berdaftar modul top_counter, yang mengawal LED [2] dan LED [3]. |
blinking_led.qpf | Projek Intel Quartus Prime file mengandungi senarai semua semakan dalam projek. |
berkelip_berpimpin . qs f | Tetapan Intel Quartus Prime file mengandungi tugasan dan tetapan untuk projek. |
Nota: Folder supr mengandungi set lengkap files anda buat menggunakan aplikasi ini nota. Rujukan ini files pada bila-bila masa semasa walkthrough.
1.5. Panduan Reka Bentuk Rujukan
Langkah-langkah berikut menerangkan pelaksanaan SUPR dengan reka bentuk rata:
- Langkah 1: Bermula
- Langkah 2: Cipta Partition Reka Bentuk
- Langkah 3: Peruntukkan Kawasan Penempatan dan Penghalaan
- Langkah 4: Tentukan Persona
- Langkah 5: Buat Semakan
- Langkah 6: Susun Semakan Asas
- Langkah 7: Sediakan Semakan Pelaksanaan PR
- Langkah 8: Tukar Logik SUPR
- Langkah 9: Program Lembaga
Rajah 3. Aliran Kompilasi SUPR
1.5.1. Langkah 1: Bermula
Untuk menyalin reka bentuk rujukan files ke persekitaran kerja anda dan susun reka bentuk flat blinking_led:
- Sebelum anda mula, Muat Turun Reka Bentuk Rujukan Files di muka surat 5.
- Cipta direktori agilex_pcie_devkit_blinking_led_supr dalam persekitaran kerja anda.
- Salin sub-folder tutorial/agilex_pcie_devkit_blinking_led/flat yang dimuat turun ke direktori agilex_pcie_devkit_blinking_led_supr.
- Dalam perisian Intel Quartus Prime Pro Edition, klik File ➤ Buka Projek dan buka /flat/blinking_led.qpf.
- Untuk menyusun reka bentuk asas, klik Pemprosesan ➤ Mulakan Penyusunan. Laporan Penganalisis Masa dibuka secara automatik apabila penyusunan selesai. Anda boleh menutup Penganalisis Masa buat masa ini.
1.5.2. Langkah 2: Cipta Partition Reka Bentuk
Buat partition reka bentuk untuk setiap rantau yang anda mahu konfigurasi semula sebahagiannya. Anda boleh membuat sebarang bilangan partition bebas atau kawasan PR dalam projek anda. Ikuti langkah ini untuk membuat sekatan reka bentuk untuk tika u_blinking_led sebagai partition PR dan tika u_top_counter sebagai rantau SUPR:
- Klik kanan contoh u_blinking_led dalam Project Navigator dan klik Design Partition
➤ Boleh dikonfigurasikan semula. Ikon partition reka bentuk muncul di sebelah setiap kejadian yang ditetapkan sebagai partition.
Rajah 4. Mencipta Partition Reka Bentuk - Ulang langkah 1 untuk membuat partition untuk contoh u_top_counter.
- Klik Tugasan ➤ Reka Bentuk Pemisahan Tetingkap. Tetingkap memaparkan semua partition reka bentuk dalam projek.
Rajah 5. Tetingkap Pembahagian Reka Bentuk
- Klik dua kali pada sel Nama Partition blinking_led untuk menamakan semula kepada pr_partition. Begitu juga, namakan semula partition top_counter kepada supr_partition.
Sebagai alternatif, menambah baris berikut pada blinking_led.qsf mencipta partition ini:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top
1.5.3. Langkah 3: Peruntukkan Kawasan Penempatan dan Penghalaan
Untuk setiap semakan asas yang anda buat, Pengkompil menggunakan peruntukan rantau partition PR untuk meletakkan teras persona yang sepadan dalam wilayah simpanan. Ikuti langkah ini untuk mencari dan menetapkan kawasan PR dalam pelan lantai peranti untuk semakan asas anda:
- Dalam tab Project Navigator Hierarchy, klik kanan contoh u_blinking_led, dan kemudian klik Logic Lock Region ➤ Create New Logic Lock Region. Rantau muncul dalam tetingkap Logic Lock Regions.
- Tentukan wilayah Lebar 5 dan Tinggi 5.
- Tentukan koordinat kawasan penempatan untuk u_blinking_led dalam lajur Asal. Asal sepadan dengan sudut kiri bawah rantau ini. Tentukan Asal sebagai X166_Y199. Pengkompil mengira (X170 Y203) sebagai koordinat kanan atas.
- Dayakan pilihan Terpelihara dan Teras Sahaja untuk rantau ini.
- Klik dua kali pada pilihan Rantau Penghalaan. Kotak dialog Tetapan Rantau Penghalaan Kunci Logik muncul.
- Untuk Jenis Penghalaan, pilih Tetap dengan pengembangan. Pilihan ini secara automatik memperuntukkan panjang pengembangan satu.
- Ulangi langkah sebelumnya untuk memperuntukkan sumber berikut untuk partition u_top_counter:
• Tinggi—5
• Lebar—5
• Asal—X173_Y199
• Rantau Penghalaan— Ditetapkan dengan pengembangan dengan panjang Pengembangan satu.
• Terpelihara—Hidup
• Teras Sahaja—Hidup
Rajah 6. Tetingkap Kawasan Kunci Logik
Nota: Rantau penghalaan mestilah lebih besar daripada rantau peletakan, untuk memberikan fleksibiliti tambahan untuk penghalaan Pengkompil.tage, apabila Pengkompil mengarahkan persona yang berbeza.
- Rantau peletakan anda mesti menyertakan logik blinking_led. Untuk memilih kawasan peletakan dengan mencari nod dalam Perancang Cip, klik kanan nama wilayah u_blinking_led dalam tetingkap Logic Lock Regions, dan kemudian klik Cari Nod ➤ Cari dalam Perancang Cip.
- Di bawah Laporan Pembahagian, klik dua kali Pembahagian Reka Bentuk Laporan. Perancang Cip menyerlahkan dan kod warna rantau ini.
Rajah 7. Lokasi Nod Perancang Cip untuk blinking_led
Sebagai alternatif, menambah baris berikut pada blinking_led.qsf mewujudkan wilayah ini:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -nama PARTITION supr_partition -ke u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -nama PLACE_REGION "X166 Y199 X170 Y203" -kepada \ u_blinking_led
set_instance_assignment -nama RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -nama CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -nama ROUTE_REGION "X165 Y198 X171 Y204" -kepada \ u_blinking_led
set_instance_assignment -nama RESERVE_ROUTE_REGION OFF -kepada u_blinking_led
set_instance_assignment -nama PLACE_REGION "X173 Y199 X177 Y203" -ke \ u_top_counter
set_instance_assignment -nama RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -nama CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -nama REGION_NAME supr_partition -ke u_top_counter
set_instance_assignment -nama ROUTE_REGION "X172 Y198 X178 Y204" -ke \ u_top_counter
set_instance_assignment -nama RESERVE_ROUTE_REGION OFF -ke u_top_counter
1.5.4. Langkah 4: Tentukan Persona
Reka bentuk rujukan ini mentakrifkan tiga persona berasingan untuk partition PR tunggal, dan satu persona SUPR untuk rantau SUPR. Ikut langkah ini untuk menentukan dan memasukkan persona ini dalam projek anda. Jika menggunakan Editor Teks Intel Quartus Prime, lumpuhkan Tambah file
kepada projek semasa apabila menyimpan files.
- Buat blinking_led_slow.sv baharu, blinking_led_empty.sv dan top_counter_fast.sv SystemVerilog files dalam direktori kerja anda. Sahkan bahawa blinking_led.sv sudah ada dalam direktori kerja.
- Masukkan kandungan berikut untuk SystemVerilog files:
Jadual 2. Sistem Personas Reka Bentuk RujukanVerilog
File Nama Penerangan Kod blinking_led_slow. sv LED berkelip lebih perlahan skala masa 1 ps / 1 ps 'default_nettype none
modul blinking_led_slow // jam
jam wayar input, tetapan semula wayar input, wayar input [31:01 kaunter,
// Isyarat kawalan untuk wayar output LED led_two_on,
wayar output led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; kaki led_three_on_r; tetapkan led_two_on = led_two_on_r; tetapkan led_three_on = led_three_on_r; always_ff @(posedge clock) mulakan led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= kaunter[COUNTER_TAP]; akhir modulblinking_led_empty. sv LED kekal HIDUP skala masa 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // jam wayar input jam, tetapan semula wayar input, wayar input [pembilang 31:01, // Isyarat kawalan untuk wayar output LEC led_two_on, wayar output led_three_on bersambung… File Nama Penerangan Kod // LED aktif rendah tetapkan led_two_on = l'IDO; tetapkan led_three_on = 11b0; modul akhir top_counter_fast.sv SUPR kedua 'skala masa 1 ps / 1 ps persona Thdefault_nettype tiada modul top_counter_fast // Isyarat kawalan untuk wayar output LED led_one_on, kiraan wayar output [31:0], // jam wayar input jam ); localparam TAP KAUNTER = 23; reg [31:0] count_d; tetapkan kiraan = count_d; tetapkan led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge clock) mula count_d <= count_d + 2; tamat .:modul - klik File ➤ Simpan Sebagai dan simpan .sv files dalam direktori projek semasa.
1.5.5. Langkah 5: Buat Semakan
Aliran reka bentuk PR menggunakan ciri semakan projek dalam perisian Intel Quartus Prime. Reka bentuk awal anda ialah semakan asas, di mana anda mentakrifkan sempadan rantau statik dan kawasan boleh dikonfigurasikan semula pada FPGA. Daripada semakan asas, anda membuat semakan tambahan. Semakan ini mengandungi pelaksanaan yang berbeza untuk wilayah PR. Walau bagaimanapun, semua semakan pelaksanaan PR menggunakan peletakan peringkat atas dan hasil penghalaan yang sama daripada semakan asas. Untuk menyusun reka bentuk PR, anda membuat semakan pelaksanaan PR untuk setiap persona. Selain itu, anda mesti menetapkan sama ada jenis semakan Konfigurasi Semula Separa – Pangkalan atau Konfigurasi Semula Separa – Pelaksanaan Persona untuk setiap semakan. Jadual berikut menyenaraikan nama semakan dan jenis semakan untuk setiap semakan. Semakan impl_blinking_led_supr_new.qsf ialah pelaksanaan persona SUPR.
Jadual 3. Nama dan Jenis Semakan
Nama Semakan | Jenis Semakan |
berkelip-kelip | Konfigurasi Semula Separa – Pangkalan |
blinking_led_default | Konfigurasi Semula Separa – Pelaksanaan Persona |
berkelip_diterajui_perlahan | Konfigurasi Semula Separa – Pelaksanaan Persona |
blinking_led_empty | Konfigurasi Semula Separa – Pelaksanaan Persona |
impl_blinking_led_supr_new | Konfigurasi Semula Separa – Pelaksanaan Persona |
1.5.5.1. Menetapkan Semakan Asas
Ikuti langkah ini untuk menetapkan blinking_led sebagai semakan asas:
- Klik Projek ➤ Semakan.
- Untuk Jenis Semakan, pilih Konfigurasi Semula Separa - Pangkalan.
Langkah ini menambahkan yang berikut pada blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Membuat Semakan Pelaksanaan
Ikuti langkah ini untuk membuat semakan pelaksanaan:
- Dalam kotak dialog Semakan, klik dua kali < >.
- Dalam nama Semakan, nyatakan blinking_led_default dan pilih blinking_led untuk Berdasarkan semakan.
- Untuk jenis Semakan, pilih Konfigurasi Semula Separa – Pelaksanaan Persona.
- Lumpuhkan pilihan Tetapkan sebagai semakan semasa.
- Ulang langkah 2 hingga 5 untuk menetapkan jenis Semakan untuk semakan pelaksanaan lain:
Nama Semakan | Jenis Semakan | Berdasarkan Revision |
berkelip_diterajui_perlahan | Konfigurasi Semula Separa – Pelaksanaan Persona | berkelip-kelip |
blinking_led_empty | Konfigurasi Semula Separa – Pelaksanaan Persona | berkelip-kelip |
impl_blinking_led_supr_new | Konfigurasi Semula Separa – Pelaksanaan Persona | berkelip-kelip |
Rajah 8. Membuat Semakan Pelaksanaan
Setiap .qsf file kini mengandungi tugasan berikut:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -nama ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -nama ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. Langkah 6: Susun Semakan Asas
Ikuti langkah-langkah ini untuk menyusun semakan asas dan mengeksport kawasan statik dan SUPR untuk digunakan kemudian dalam semakan pelaksanaan untuk persona PR baharu:
- Tetapkan blinking_led sebagai Semakan Semasa jika belum ditetapkan.
- Dalam Tetingkap Pemisahan Reka Bentuk, klik (…) bersebelahan dengan lajur paling kanan dan dayakan Eksport Pasca Akhir File kolum. Anda juga boleh melumpuhkan atau menukar susunan lajur.
- Untuk mengeksport gambar akhir secara automatik bagi partition reka bentuk pelaksanaan PR selepas setiap penyusunan, nyatakan yang berikut untuk Eksport Selepas Akhir File pilihan untuk partition root dan SUPR. .qdb files eksport ke direktori projek secara lalai.
• root_partition—blinking_led_static.qdb
• supr_partition—berkedip_led_supr_partition_final.qdb
Rajah 9. Eksport Auto dalam Tetingkap Partition Reka BentukSebagai alternatif, tugasan .qsf berikut mengeksport partition secara automatik selepas setiap penyusunan:
set_instance_assignment -nama EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -bahagian atas entiti
set_instance_assignment -nama EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - Untuk menyusun semakan asas blinking_led, klik Pemprosesan ➤ Mula
Kompilasi. Sebagai alternatif, anda boleh menggunakan arahan berikut untuk menyusun semakan ini:
quartus_sh –flow compile blinking_led -c blinking_led Selepas penyusunan berjaya, perkara berikut files muncul dalam direktori projek:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• berkedip_led.supr_partition.rbf
• berkelip_led_static.qdb
• berkelip_led_supr_partition_final.qdb
1.5.7. Langkah 7: Sediakan Semakan Pelaksanaan PR
Anda mesti menyediakan semakan pelaksanaan PR sebelum anda boleh menjana aliran bit PR untuk pengaturcaraan peranti. Persediaan ini termasuk menambah kawasan statik .qdb file sebagai sumber file bagi setiap semakan pelaksanaan. Di samping itu, anda mesti menentukan
entiti sepadan wilayah PR. Ikuti langkah ini untuk menyediakan semakan pelaksanaan PR:
- Untuk menetapkan semakan semasa, klik Projek ➤ Semakan, pilih blinking_led_default sebagai nama Semakan, dan kemudian klik Tetapkan Semasa. Sebagai alternatif, anda boleh memilih semakan semasa pada bar alat utama Intel Quartus Prime.
- Untuk mengesahkan sumber yang betul untuk semakan pelaksanaan ini, klik Projek ➤ Tambah/Alih Keluar Files dalam Projek. Sahkan bahawa blinking_led.sv file muncul dalam file senarai.
- Untuk mengesahkan sumber yang betul file untuk semakan pelaksanaan, klik Projek ➤ Tambah/Alih Keluar files dalam Projek, dan tambah sumber berikut files untuk semakan pelaksanaan. Jika ada, alih keluar blinking_led.sv daripada senarai projek files.
INama Semakan pelaksanaan Sumber File blinking_led_empty blinking_led_empty.sv berkelip_diterajui_perlahan blinking_led_slow.sv - Tetapkan blinking_led_default sebagai Semakan Semasa.
- Untuk menentukan .qdb file sebagai sumber untuk root_partition, klik Assignments ➤ Design Partitions Window. Klik dua kali pada Pangkalan Data Partition File sel dan nyatakan blinking_led_static.qdb file.
- Begitu juga, tentukan blinking_led_supr_partition_final.qdb sebagai Pangkalan Data Partition File untuk supr_partition.
Rajah 10.
Sebagai alternatif, gunakan tugasan .qsf berikut untuk menentukan .qdb:
set_instance_assignment -name QDB_FILE_PARTITION \ berkelip_led_static.qdb -kepada |
set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter - Dalam Tetingkap Pemisahan Reka Bentuk, klik (…) bersebelahan dengan lajur paling kanan dan dayakan lajur Pengikat Semula Entiti.
-
Dalam sel Pengikat Semula Entiti, nyatakan nama entiti baharu untuk partition PR yang anda ubah dalam semakan pelaksanaan semasa. Untuk semakan pelaksanaan blinking_led_default, nama entiti ialah blinking_led. Dalam kes ini, anda sedang menulis ganti contoh u_blinking_led daripada kompilasi semakan asas dengan entiti baharu blinking_led. Untuk semakan pelaksanaan lain, rujuk jadual berikut:
Semakan Nilai Ikatan Semula Entiti berkelip_diterajui_perlahan berkelip_diterajui_perlahan blinking_led_empty blinking_led_empty Rajah 11. Pengikat Semula Entiti
Sebagai alternatif, anda boleh menggunakan baris berikut dalam setiap .qsf semakan untuk menetapkan tugasan:
##blinking_led_default.qsf
set_instance_assignment -nama ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -nama ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -nama ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - Padam teks pemegang_tempat daripada sel pengikat semula Entiti untuk supr_partition.
- Untuk menyusun reka bentuk, klik Pemprosesan ➤ Mulakan Penyusunan. Sebagai alternatif, gunakan arahan berikut untuk menyusun projek ini: quartus_sh –flow compile blinking_led –c blinking_led_default
- Ulang langkah 4 hingga 11 untuk menyediakan dan menyusun semakan pelaksanaan blinking_led_slow dan blinking_led_empty.
1.5.8. Langkah 8: Tukar Logik SUPR
Untuk menukar kefungsian logik dalam partition SUPR, anda mesti menukar sumber partition SUPR. Lengkapkan langkah berikut untuk menggantikan contoh u_top_counter dalam partition SUPR dengan entiti top_counter_fast.
- Untuk menetapkan semakan pelaksanaan SUPR sebagai semasa, klik Projek ➤ Semakan dan tetapkan impl_blinking_led_supr_new sebagai semakan semasa, atau pilih
semakan pada bar alat utama Intel Quartus Prime. - Untuk mengesahkan sumber yang betul file untuk semakan pelaksanaan, klik Projek ➤
Tambah/Alih Keluar files dalam Projek dan sahkan bahawa top_counter_fast.sv ialah sumber untuk semakan pelaksanaan impl_blinking_led_supr_new. Jika ada, alih keluar top_counter.sv daripada senarai projek files. - Untuk menentukan .qdb file dikaitkan dengan partition root, klik Assignments ➤ Design Partitions Window, dan kemudian dwiklik Pangkalan Data Partition File sel untuk menentukan blinking_led_static.qdb.
Sebagai alternatif, gunakan arahan berikut untuk menetapkan ini file: set_instance_assignment -name QDB_FILE_PARTITION \ berkelip_led_static.qdb -kepada | - Dalam sel pengikat semula Entiti untuk pr_partition, nyatakan nama entiti yang sesuai. Untuk bekas iniample, nyatakan entiti blinking_led_empty. Dalam kes ini, anda sedang menulis ganti contoh u_blinking_led daripada kompilasi semakan asas dengan entiti baharu linking_led_empty. Baris berikut kini wujud dalam .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - Dalam sel pengikat semula Entiti untuk supr_partition, nyatakan entiti top_counter_fast. top_counter_fast ialah nama entiti statik yang menggantikan u_top_counter apabila anda melengkapkan SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- Untuk menyusun reka bentuk, klik Pemprosesan ➤ Mulakan Penyusunan. Sebagai alternatif, gunakan arahan berikut untuk menyusun semakan projek ini: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
1.5.9. Langkah 9: Program Lembaga
Ikuti langkah ini untuk menyambung dan memprogram papan pembangunan FPGA Intel Agilex F-Series.
- Sambungkan bekalan kuasa ke papan pembangunan FPGA Intel Agilex F-Series.
- Sambungkan kabel USB antara port USB PC anda dan perkakasan pengaturcaraan USB pada papan pembangunan.
- Buka perisian Intel Quartus Prime, dan kemudian klik Alat ➤ Pengaturcara. Rujuk Pengaturcaraan Lembaga Pembangunan.
- Dalam Pengaturcara, klik Persediaan Perkakasan, dan kemudian pilih USB-Blaster.
- Klik Auto Detect, dan kemudian pilih peranti AGFB014R24B.
- Klik OK. Perisian Intel Quartus Prime mengesan dan mengemas kini Pengaturcara dengan tiga peranti FPGA pada papan.
- Pilih peranti AGFB014R24B, klik Tukar File, dan muatkan blinking_led_default.sof file.
- Dayakan Program/Konfigurasikan untuk blinking_led_default.sof file.
- Klik Mula dan tunggu bar kemajuan mencapai 100%.
- Perhatikan LED pada papan berkelip.
- Untuk memprogram kawasan PR sahaja, klik kanan pada blinking_led_default.sof file dalam Pengaturcara dan klik Tambah Pengaturcaraan PR File. Pilih blinking_led_slow.pr_partition.rbf file.
- Lumpuhkan Program/Konfigurasi untuk blinking_led_default.sof file.
- Dayakan Program/Konfigurasikan untuk blinking_led_slow.pr_partition.rbf file, dan kemudian klik Mula. Pada papan, perhatikan LED[0] dan LED[1] yang terus berkelip. Apabila bar kemajuan mencapai 100%, LED[2] dan LED[3] berkelip lebih perlahan.
- Untuk memprogram semula kawasan PR, klik kanan pada .rbf file dalam Pengaturcara, dan kemudian klik Tukar Pengaturcaraan PR File.
- Pilih .rbf files untuk dua persona yang lain memerhati tingkah laku di papan tulis. Memuatkan blinking_led_default.pr_partition.rbf file menyebabkan LED berkelip pada frekuensi asal dan memuatkan blinking_led_empty.pr_partition.rbf file menyebabkan LED kekal HIDUP. 17. Untuk menukar logik SUPR, ulangi langkah 7 di atas untuk memilih impl_blinking_led_supr_new.sof. Selepas menukar ini file, led [0:1] kini berkelip pada kadar yang lebih pantas berbanding sebelum ini. PR yang lain .rbf files juga serasi dengan .sof baharu.
Nota: Assembler menjana .rbf file untuk wilayah SUPR. Walau bagaimanapun, anda tidak sepatutnya menggunakan ini file untuk memprogram semula FPGA pada masa jalan kerana partition SUPR tidak membuat seketika jambatan beku, pengawal rantau PR dan logik lain dalam keseluruhan sistem. Apabila anda membuat perubahan pada logik partition SUPR, anda mesti memprogram semula .sof penuh file daripada kompilasi semakan pelaksanaan SUPR.
Rajah 12. Pengaturcaraan Lembaga Pembangunan
1.5.9.1. Menyelesaikan Masalah Ralat Pengaturcaraan PR
Memastikan persediaan yang betul bagi Intel Quartus Prime Programmer dan perkakasan yang disambungkan membantu mengelakkan sebarang ralat semasa pengaturcaraan PR.
Jika anda menghadapi sebarang ralat pengaturcaraan PR, rujuk kepada "Menyelesaikan Masalah Ralat Pengaturcaraan PR" dalam Panduan Pengguna Edisi Intel Quartus Prime Pro: Konfigurasi Semula Separa untuk petua penyelesaian masalah langkah demi langkah.
Maklumat Berkaitan
Menyelesaikan Masalah Ralat Pengaturcaraan PR
1.5.10. Mengubah suai Pembahagian SUPR
Anda boleh mengubah suai partition SUPR sedia ada. Selepas mengubah suai partition SUPR, anda mesti menyusunnya, menjana .sof file, dan atur cara papan, tanpa menyusun persona lain. Untuk example, ikut langkah ini untuk menukar modul top_counter_fast.sv kepada mengira dengan lebih pantas:
- Tetapkan impl_blinking_led_supr_new sebagai semakan semasa.
- Dalam top_counter_fast.sv file, gantikan pernyataan count_d + 2 dengan count_d + 4.
- Jalankan arahan berikut untuk mensintesis semula blok SUPR dan menjana .sof baharu file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
.sof yang terhasil kini mengandungi rantau SUPR baharu dan menggunakan blinking_led untuk persona lalai (hidupkan kuasa).
1.6. Sejarah Semakan Dokumen AN 987: Sejarah Semakan Tutorial Konfigurasi Semula Kemas Kini Statik
Versi Dokumen | Versi Intel Quartus Prime | Perubahan |
2022.10.24 | 22. | Keluaran awal dokumen. |
Dikemas kini untuk Suite Reka Bentuk Intel® Quartus®Prime: 22.3
Jawapan kepada Soalan Lazim Teratas:
Hantar Maklum Balas
Q Apakah itu konfigurasi semula separa kemas kini statik
Kemas kini Statik Konfigurasi Semula Separa pada halaman 3
S Apakah yang saya perlukan untuk tutorial ini?
Keperluan Tutorial di muka surat 3
S Di manakah saya boleh mendapatkan reka bentuk rujukan?
Reka Bentuk Rujukan Muat Turun Files di muka surat 5
S Bagaimanakah cara saya membuat reka bentuk SUPR?
Panduan Reka Bentuk Rujukan pada halaman 6
S Apakah persona PR?
Tentukan Persona pada halaman 10
S Bagaimanakah cara saya menukar logik SUPR? A Tukar Logik SUPR pada halaman 16
A Tukar Logik SUPR pada halaman 16
S Bagaimanakah saya memprogramkan papan?
A Program Lembaga di muka surat 18
S Apakah isu dan batasan PR yang diketahui?
Forum Sokongan Intel FPGA: PR
Versi Dalam Talian
Hantar Maklum Balas
ID: 749443
AN-987
Versi: 2022.10.24
Dokumen / Sumber
![]() |
Lembaga Pembangunan FPGA Intel Agilex F-Series [pdf] Panduan Pengguna Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board |